ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/Coro/Event/Event.pm
(Generate patch)

Comparing Coro/Event/Event.pm (file contents):
Revision 1.7 by root, Tue Oct 9 00:50:32 2001 UTC vs.
Revision 1.18 by pcg, Wed Nov 5 20:02:47 2003 UTC

16 print "data> "; 16 print "data> ";
17 my $ev = $w->next; my $data = <STDIN>; 17 my $ev = $w->next; my $data = <STDIN>;
18 } 18 }
19 } 19 }
20 20
21 &loop; 21 loop;
22 22
23=head1 DESCRIPTION 23=head1 DESCRIPTION
24 24
25This module enables you to create programs using the powerful Event model 25This module enables you to create programs using the powerful Event model
26(and module), while retaining the linear style known from simple or 26(and module), while retaining the linear style known from simple or
30(I<flavour>) (see L<Event>). The only difference between these and the 30(I<flavour>) (see L<Event>). The only difference between these and the
31watcher constructors from Event is that you do not specify a callback 31watcher constructors from Event is that you do not specify a callback
32function - it will be managed by this module. 32function - it will be managed by this module.
33 33
34Your application should just create all necessary coroutines and then call 34Your application should just create all necessary coroutines and then call
35Coro::Event->main. 35Coro::Event::loop.
36 36
37=over 4 37=over 4
38 38
39=cut 39=cut
40 40
41package Coro::Event; 41package Coro::Event;
42 42
43no warnings qw(uninitialized); 43BEGIN { eval { require warnings } && warnings->unimport ("uninitialized") }
44 44
45use Carp; 45use Carp;
46 46
47use Coro; 47use Coro;
48use Event qw(unloop); # we are re-exporting this, cooool! 48use Event qw(loop unloop); # we are re-exporting this, cooool!
49 49
50use base 'Exporter'; 50use base 'Exporter';
51 51
52@EXPORT = qw(loop unloop sweep reschedule); 52@EXPORT = qw(loop unloop sweep reschedule);
53 53
54BEGIN { 54BEGIN {
55 $VERSION = 0.45; 55 $VERSION = 0.8;
56 56
57 local $^W = 0; # avoid redefine warning for Coro::ready 57 local $^W = 0; # avoid redefine warning for Coro::ready;
58
58 require XSLoader; 59 require DynaLoader;
59 XSLoader::load Coro::Event, $VERSION; 60 push @ISA, 'DynaLoader';
61 bootstrap Coro::Event $VERSION;
60} 62}
61 63
62=item $w = Coro::Event->flavour(args...) 64=item $w = Coro::Event->flavour(args...)
63 65
64Create and return a watcher of the given type. 66Create and return a watcher of the given type.
92 @{"${class}::ISA"} = (Coro::Event::, "Event::$flavour"); 94 @{"${class}::ISA"} = (Coro::Event::, "Event::$flavour");
93 my $coronew = sub { 95 my $coronew = sub {
94 # how does one do method-call-by-name? 96 # how does one do method-call-by-name?
95 # my $w = $class->SUPER::$flavour(@_); 97 # my $w = $class->SUPER::$flavour(@_);
96 98
97 $_[0] eq Coro::Event:: 99 shift eq Coro::Event::
98 or croak "event constructor \"Coro::Event->$flavour\" must be called as a static method"; 100 or croak "event constructor \"Coro::Event->$flavour\" must be called as a static method";
99 101
100 my $q = []; # [$coro, $event]
101 my $w = $new->( 102 my $w = $new->($class,
102 desc => $flavour, 103 desc => $flavour,
103 @_, 104 @_,
104 parked => 1, 105 parked => 1,
105 ); 106 );
106 _install_std_cb($w, $type); 107 _install_std_cb($w, $type);
108 }; 109 };
109 *{ $flavour } = $coronew; 110 *{ $flavour } = $coronew;
110 *{"do_$flavour"} = sub { 111 *{"do_$flavour"} = sub {
111 unshift @_, Coro::Event::; 112 unshift @_, Coro::Event::;
112 my $e = (&$coronew)->next; 113 my $e = (&$coronew)->next;
113 $e->cancel; # $e = $e->w 114 $e->cancel; # $e = $e->w->cancel ($e == $e->w!)
114 $e; 115 $e;
115 }; 116 };
116} 117}
117 118
118# double calls to avoid stack-cloning ;() 119# double calls to avoid stack-cloning ;()
156 157
157=end comment 158=end comment
158 159
159=cut 160=cut
160 161
162# no longer do something special - it's done internally now
163
161sub loop(;$) { 164#sub loop(;$) {
162 local $Coro::idle = $Coro::current; 165# #local $Coro::idle = $Coro::current;
163 Coro::schedule; # become idle task, which is implicitly ready 166# #Coro::schedule; # become idle task, which is implicitly ready
164 &Event::loop; 167# &Event::loop;
165} 168#}
166 169
167=item unloop([$result]) 170=item unloop([$result])
168 171
169Same as Event::unloop (provided here for your convinience only). 172Same as Event::unloop (provided here for your convinience only).
170 173
175 Event::one_event; # inefficient 178 Event::one_event; # inefficient
176 Coro::schedule; 179 Coro::schedule;
177 } 180 }
178}; 181};
179 182
183# provide hooks for Coro::Timer
184
185package Coro::Timer;
186
187unless ($override) {
188 $override = 1;
189 *_new_timer = sub {
190 Event->timer(at => $_[0], cb => $_[1]);
191 };
192}
193
1801; 1941;
181 195
182=head1 AUTHOR 196=head1 AUTHOR
183 197
184 Marc Lehmann <pcg@goof.com> 198 Marc Lehmann <pcg@goof.com>

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines