ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.110 by root, Tue Apr 15 04:41:57 2008 UTC vs.
Revision 1.175 by root, Sun Jul 7 05:46:01 2019 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/
6
7/* fix perl api breakage */ 5/* fix perl api breakage */
6#ifndef WIN32
8#undef signal 7# undef signal
9#undef sigaction 8# undef sigaction
9#endif
10 10
11#include "schmorp.h"
12
13/* old API compatibility */
14static int
15sv_fileno (SV *fh)
16{
17 return s_fileno (fh, 0);
18}
19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26# include <assert.h>
27#endif
28
29#define EV_STANDALONE 1
11#define EV_PROTOTYPES 1 30#define EV_PROTOTYPES 1
12#define EV_USE_NANOSLEEP EV_USE_MONOTONIC 31#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
13#define EV_H <ev.h> 32#define EV_USE_FLOOR 1
33#define EV_API_STATIC
34#define EV_H "../libev/ev.h"
35#define EV_CONFIG_H error
14#include "EV/EVAPI.h" 36#include "EV/EVAPI.h"
15 37
16#define EV_SELECT_IS_WINSOCKET 0 38#define EV_SELECT_IS_WINSOCKET 0
17#ifdef _WIN32 39#ifdef _WIN32
18# define EV_SELECT_USE_FD_SET 0 40# define EV_SELECT_USE_FD_SET 0
20# define fd_mask Perl_fd_mask 42# define fd_mask Perl_fd_mask
21#endif 43#endif
22/* due to bugs in OS X we have to use libev/ explicitly here */ 44/* due to bugs in OS X we have to use libev/ explicitly here */
23#include "libev/ev.c" 45#include "libev/ev.c"
24 46
25#ifndef _WIN32 47#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
26# include <pthread.h> 48# include <pthread.h>
27#endif 49#endif
28 50
29#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX ((w)->loop)) 51#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
52#define e_flags(w) ((ev_watcher *)(w))->e_flags
53#define e_self(w) ((ev_watcher *)(w))->self
54#define e_fh(w) ((ev_watcher *)(w))->fh
55#define e_data(w) ((ev_watcher *)(w))->data
30 56
31#define WFLAG_KEEPALIVE 1 57#define WFLAG_KEEPALIVE 1
58#define WFLAG_UNREFED 2 /* has been unref'ed */
32 59
33#define UNREF(w) \ 60#define UNREF(w) \
34 if (!((w)->e_flags & WFLAG_KEEPALIVE) \ 61 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
35 && !ev_is_active (w)) \ 62 && ev_is_active (w)) \
63 { \
36 ev_unref (e_loop (w)); 64 ev_unref (e_loop (w)); \
65 e_flags (w) |= WFLAG_UNREFED; \
66 }
37 67
38#define REF(w) \ 68#define REF(w) \
39 if (!((w)->e_flags & WFLAG_KEEPALIVE) \ 69 if (e_flags (w) & WFLAG_UNREFED) \
40 && ev_is_active (w)) \ 70 { \
71 e_flags (w) &= ~WFLAG_UNREFED; \
41 ev_ref (e_loop (w)); 72 ev_ref (e_loop (w)); \
73 }
42 74
43#define START(type,w) \ 75#define START(type,w) \
44 do { \ 76 do { \
77 ev_ ## type ## _start (e_loop (w), w); \
45 UNREF (w); \ 78 UNREF (w); \
46 ev_ ## type ## _start (e_loop (w), w); \
47 } while (0) 79 } while (0)
48 80
49#define STOP(type,w) \ 81#define STOP(type,w) \
50 do { \ 82 do { \
51 REF (w); \ 83 REF (w); \
52 ev_ ## type ## _stop (e_loop (w), w); \ 84 ev_ ## type ## _stop (e_loop (w), w); \
53 } while (0) 85 } while (0)
54 86
55#define RESET(type,w,seta) \ 87#define RESET(type,w,seta) \
56 do { \ 88 do { \
57 int active = ev_is_active (w); \ 89 int active = ev_is_active (w); \
58 if (active) STOP (type, w); \ 90 if (active) STOP (type, w); \
59 ev_ ## type ## _set seta; \ 91 ev_ ## type ## _set seta; \
60 if (active) START (type, w); \ 92 if (active) START (type, w); \
61 } while (0) 93 } while (0)
62 94
63typedef int Signal; 95typedef int Signal;
96
97/* horrible... */
98#define CHECK_SIGNAL_CAN_START(w) \
99 do { \
100 /* dive into the internals of libev to avoid aborting in libev */ \
101 if (signals [(w)->signum - 1].loop \
102 && signals [(w)->signum - 1].loop != e_loop (w)) \
103 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
104 } while (0)
105
106#define START_SIGNAL(w) \
107 do { \
108 CHECK_SIGNAL_CAN_START (w); \
109 START (signal, w); \
110 } while (0) \
111
112#define RESET_SIGNAL(w,seta) \
113 do { \
114 int active = ev_is_active (w); \
115 if (active) STOP (signal, w); \
116 ev_ ## signal ## _set seta; \
117 if (active) START_SIGNAL (w); \
118 } while (0)
64 119
65static SV *default_loop_sv; 120static SV *default_loop_sv;
66 121
67static struct EVAPI evapi; 122static struct EVAPI evapi;
68 123
78 *stash_idle, 133 *stash_idle,
79 *stash_prepare, 134 *stash_prepare,
80 *stash_check, 135 *stash_check,
81 *stash_embed, 136 *stash_embed,
82 *stash_fork, 137 *stash_fork,
138 *stash_cleanup,
83 *stash_async; 139 *stash_async;
84
85#ifndef SIG_SIZE
86/* kudos to Slaven Rezic for the idea */
87static char sig_size [] = { SIG_NUM };
88# define SIG_SIZE (sizeof (sig_size) + 1)
89#endif
90
91static Signal
92sv_signum (SV *sig)
93{
94 Signal signum;
95
96 SvGETMAGIC (sig);
97
98 for (signum = 1; signum < SIG_SIZE; ++signum)
99 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
100 return signum;
101
102 signum = SvIV (sig);
103
104 if (signum > 0 && signum < SIG_SIZE)
105 return signum;
106
107 return -1;
108}
109 140
110///////////////////////////////////////////////////////////////////////////// 141/////////////////////////////////////////////////////////////////////////////
111// Event 142// Event
112 143
113static void e_cb (EV_P_ ev_watcher *w, int revents); 144static void e_cb (EV_P_ ev_watcher *w, int revents);
114 145
115static int
116sv_fileno (SV *fh)
117{
118 SvGETMAGIC (fh);
119
120 if (SvROK (fh))
121 fh = SvRV (fh);
122
123 if (SvTYPE (fh) == SVt_PVGV)
124 return PerlIO_fileno (IoIFP (sv_2io (fh)));
125
126 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
127 return SvIV (fh);
128
129 return -1;
130}
131
132static void * 146static void *
133e_new (int size, SV *cb_sv, SV *loop) 147e_new (int size, SV *cb_sv, SV *loop)
134{ 148{
149 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
135 ev_watcher *w; 150 ev_watcher *w;
136 SV *self = NEWSV (0, size); 151 SV *self = NEWSV (0, size);
137 SvPOK_only (self); 152 SvPOK_only (self);
138 SvCUR_set (self, size); 153 SvCUR_set (self, size);
139 154
140 w = (ev_watcher *)SvPVX (self); 155 w = (ev_watcher *)SvPVX (self);
141 156
142 ev_init (w, e_cb); 157 ev_init (w, cv ? e_cb : 0);
143 158
144 w->loop = SvREFCNT_inc (SvRV (loop)); 159 w->loop = SvREFCNT_inc (SvRV (loop));
145 w->e_flags = WFLAG_KEEPALIVE; 160 w->e_flags = WFLAG_KEEPALIVE;
146 w->data = 0; 161 w->data = 0;
147 w->fh = 0; 162 w->fh = 0;
148 w->cb_sv = SvTEMP (cb_sv) && SvREFCNT (cb_sv) == 1 ? SvREFCNT_inc (cb_sv) : newSVsv (cb_sv); 163 w->cb_sv = SvREFCNT_inc (cv);
149 w->self = self; 164 w->self = self;
150 165
151 return (void *)w; 166 return (void *)w;
152} 167}
153 168
177 } 192 }
178 193
179 return rv; 194 return rv;
180} 195}
181 196
182static SV *sv_events_cache; 197static SV *sv_self_cache, *sv_events_cache;
183 198
184static void 199static void
185e_cb (EV_P_ ev_watcher *w, int revents) 200e_cb (EV_P_ ev_watcher *w, int revents)
186{ 201{
187 dSP; 202 dSP;
188 I32 mark = SP - PL_stack_base; 203 I32 mark = SP - PL_stack_base;
189 SV *sv_self, *sv_events; 204 SV *sv_self, *sv_events;
190 205
206 /* libev might have stopped the watcher */
207 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
208 && !ev_is_active (w))
209 REF (w);
210
211 if (ecb_expect_true (sv_self_cache))
212 {
213 sv_self = sv_self_cache; sv_self_cache = 0;
214 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
215 }
216 else
217 {
191 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 218 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
219 SvREADONLY_on (sv_self);
220 }
221
222 if (ecb_expect_true (sv_events_cache))
223 {
224 sv_events = sv_events_cache; sv_events_cache = 0;
225 SvIV_set (sv_events, revents);
226 SvIOK_only (sv_events);
227 }
228 else
229 {
230 sv_events = newSViv (revents);
231 SvREADONLY_on (sv_events);
232 }
233
234 PUSHMARK (SP);
235 EXTEND (SP, 2);
236 PUSHs (sv_self);
237 PUSHs (sv_events);
238
239 PUTBACK;
240 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
241
242 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
243 SvREFCNT_dec (sv_self);
244 else
245 {
246 SvREFCNT_dec (SvRV (sv_self));
247 SvRV_set (sv_self, &PL_sv_undef);
248 sv_self_cache = sv_self;
249 }
250
251 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
252 SvREFCNT_dec (sv_events);
253 else
254 sv_events_cache = sv_events;
255
256 if (ecb_expect_false (SvTRUE (ERRSV)))
257 {
258 SPAGAIN;
259 PUSHMARK (SP);
260 PUTBACK;
261 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
262 }
263
264 SP = PL_stack_base + mark;
265 PUTBACK;
266}
267
268static void
269e_once_cb (int revents, void *arg)
270{
271 dSP;
272 I32 mark = SP - PL_stack_base;
273 SV *sv_events;
192 274
193 if (sv_events_cache) 275 if (sv_events_cache)
194 { 276 {
195 sv_events = sv_events_cache; sv_events_cache = 0; 277 sv_events = sv_events_cache; sv_events_cache = 0;
196 SvIV_set (sv_events, revents); 278 SvIV_set (sv_events, revents);
197 } 279 }
198 else 280 else
199 sv_events = newSViv (revents); 281 sv_events = newSViv (revents);
200 282
201 PUSHMARK (SP); 283 PUSHMARK (SP);
202 EXTEND (SP, 2);
203 PUSHs (sv_self);
204 PUSHs (sv_events); 284 XPUSHs (sv_events);
205 285
206 PUTBACK; 286 PUTBACK;
207 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 287 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
208 288
209 SvREFCNT_dec (sv_self); 289 SvREFCNT_dec ((SV *)arg);
210 290
211 if (sv_events_cache) 291 if (sv_events_cache)
212 SvREFCNT_dec (sv_events); 292 SvREFCNT_dec (sv_events);
213 else 293 else
214 sv_events_cache = sv_events; 294 sv_events_cache = sv_events;
223 303
224 SP = PL_stack_base + mark; 304 SP = PL_stack_base + mark;
225 PUTBACK; 305 PUTBACK;
226} 306}
227 307
228static void
229e_once_cb (int revents, void *arg)
230{
231 dSP;
232 I32 mark = SP - PL_stack_base;
233 SV *sv_events;
234
235 if (sv_events_cache)
236 {
237 sv_events = sv_events_cache; sv_events_cache = 0;
238 SvIV_set (sv_events, revents);
239 }
240 else
241 sv_events = newSViv (revents);
242
243 PUSHMARK (SP);
244 XPUSHs (sv_events);
245
246 PUTBACK;
247 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
248
249 SvREFCNT_dec ((SV *)arg);
250
251 if (sv_events_cache)
252 SvREFCNT_dec (sv_events);
253 else
254 sv_events_cache = sv_events;
255
256 if (SvTRUE (ERRSV))
257 {
258 SPAGAIN;
259 PUSHMARK (SP);
260 PUTBACK;
261 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
262 }
263
264 SP = PL_stack_base + mark;
265 PUTBACK;
266}
267
268static ev_tstamp 308static ev_tstamp
269e_periodic_cb (ev_periodic *w, ev_tstamp now) 309e_periodic_cb (ev_periodic *w, ev_tstamp now)
270{ 310{
271 ev_tstamp retval; 311 ev_tstamp retval;
272 int count; 312 int count;
275 ENTER; 315 ENTER;
276 SAVETMPS; 316 SAVETMPS;
277 317
278 PUSHMARK (SP); 318 PUSHMARK (SP);
279 EXTEND (SP, 2); 319 EXTEND (SP, 2);
280 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 320 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
281 PUSHs (newSVnv (now)); 321 PUSHs (newSVnv (now));
282 322
283 PUTBACK; 323 PUTBACK;
284 count = call_sv (w->fh, G_SCALAR | G_EVAL); 324 count = call_sv (w->fh, G_SCALAR | G_EVAL);
285 SPAGAIN; 325 SPAGAIN;
315 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 355 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
316 356
317#define CHECK_SIG(sv,num) if ((num) < 0) \ 357#define CHECK_SIG(sv,num) if ((num) < 0) \
318 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 358 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
319 359
360static void
361default_fork (void)
362{
363 ev_loop_fork (EV_DEFAULT_UC);
364}
365
320///////////////////////////////////////////////////////////////////////////// 366/////////////////////////////////////////////////////////////////////////////
321// XS interface functions 367// XS interface functions
322 368
323MODULE = EV PACKAGE = EV PREFIX = ev_ 369MODULE = EV PACKAGE = EV PREFIX = ev_
324 370
336 const_iv (EV_, MINPRI) 382 const_iv (EV_, MINPRI)
337 const_iv (EV_, MAXPRI) 383 const_iv (EV_, MAXPRI)
338 384
339 const_iv (EV_, UNDEF) 385 const_iv (EV_, UNDEF)
340 const_iv (EV_, NONE) 386 const_iv (EV_, NONE)
341 const_iv (EV_, TIMEOUT)
342 const_iv (EV_, READ) 387 const_iv (EV_, READ)
343 const_iv (EV_, WRITE) 388 const_iv (EV_, WRITE)
389 const_iv (EV_, IO)
390 const_iv (EV_, TIMER)
391 const_iv (EV_, PERIODIC)
344 const_iv (EV_, SIGNAL) 392 const_iv (EV_, SIGNAL)
393 const_iv (EV_, CHILD)
394 const_iv (EV_, STAT)
345 const_iv (EV_, IDLE) 395 const_iv (EV_, IDLE)
396 const_iv (EV_, PREPARE)
397 /*const_iv (EV_, CHECK) needs special tretament */
398 const_iv (EV_, EMBED)
346 const_iv (EV_, CHECK) 399 const_iv (EV_, FORK)
400 const_iv (EV_, CLEANUP)
401 const_iv (EV_, ASYNC)
402 const_iv (EV_, CUSTOM)
347 const_iv (EV_, ERROR) 403 const_iv (EV_, ERROR)
348 404
349 const_iv (EV, LOOP_ONESHOT) 405 const_iv (EV, RUN_NOWAIT)
350 const_iv (EV, LOOP_NONBLOCK)
351 const_iv (EV, UNLOOP_ONE) 406 const_iv (EV, RUN_ONCE)
407
408 const_iv (EV, BREAK_CANCEL)
409 const_iv (EV, BREAK_ONE)
352 const_iv (EV, UNLOOP_ALL) 410 const_iv (EV, BREAK_ALL)
353
354 const_iv (EV, BACKEND_SELECT) 411 const_iv (EV, BACKEND_SELECT)
355 const_iv (EV, BACKEND_POLL) 412 const_iv (EV, BACKEND_POLL)
356 const_iv (EV, BACKEND_EPOLL) 413 const_iv (EV, BACKEND_EPOLL)
357 const_iv (EV, BACKEND_KQUEUE) 414 const_iv (EV, BACKEND_KQUEUE)
358 const_iv (EV, BACKEND_DEVPOLL) 415 const_iv (EV, BACKEND_DEVPOLL)
359 const_iv (EV, BACKEND_PORT) 416 const_iv (EV, BACKEND_PORT)
417 const_iv (EV, BACKEND_ALL)
418 const_iv (EV, BACKEND_MASK)
360 const_iv (EV, FLAG_AUTO) 419 const_iv (EV, FLAG_AUTO)
420 const_iv (EV, FLAG_FORKCHECK)
421 const_iv (EV, FLAG_SIGNALFD)
422 const_iv (EV, FLAG_NOSIGMASK)
361 const_iv (EV, FLAG_NOENV) 423 const_iv (EV, FLAG_NOENV)
362 const_iv (EV, FLAG_FORKCHECK) 424 const_iv (EV, FLAG_NOINOTIFY)
425
426 const_iv (EV_, VERSION_MAJOR)
427 const_iv (EV_, VERSION_MINOR)
428#if EV_COMPAT3
429 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
430 const_iv (EV_, TIMEOUT)
431 const_iv (EV, LOOP_NONBLOCK)
432 const_iv (EV, LOOP_ONESHOT)
433 const_iv (EV, UNLOOP_CANCEL)
434 const_iv (EV, UNLOOP_ONE)
435 const_iv (EV, UNLOOP_ALL)
436#endif
363 }; 437 };
364 438
365 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 439 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
366 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 440 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
441
442 /* since this clashes with perl CHECK blocks, */
443 /* but we are interested in constants, */
444 /* and not blocks, we treat CHECK specially. */
445 {
446 /* the local $^W = 0 takes care of the warning */
447 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
448 /* now we need to re-set the gv, in case it was hijacked */
449 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
450 }
367 451
368 stash_loop = gv_stashpv ("EV::Loop" , 1); 452 stash_loop = gv_stashpv ("EV::Loop" , 1);
369 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 453 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
370 stash_io = gv_stashpv ("EV::IO" , 1); 454 stash_io = gv_stashpv ("EV::IO" , 1);
371 stash_timer = gv_stashpv ("EV::Timer" , 1); 455 stash_timer = gv_stashpv ("EV::Timer" , 1);
376 stash_check = gv_stashpv ("EV::Check" , 1); 460 stash_check = gv_stashpv ("EV::Check" , 1);
377 stash_child = gv_stashpv ("EV::Child" , 1); 461 stash_child = gv_stashpv ("EV::Child" , 1);
378 stash_embed = gv_stashpv ("EV::Embed" , 1); 462 stash_embed = gv_stashpv ("EV::Embed" , 1);
379 stash_stat = gv_stashpv ("EV::Stat" , 1); 463 stash_stat = gv_stashpv ("EV::Stat" , 1);
380 stash_fork = gv_stashpv ("EV::Fork" , 1); 464 stash_fork = gv_stashpv ("EV::Fork" , 1);
465 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
381 stash_async = gv_stashpv ("EV::Async" , 1); 466 stash_async = gv_stashpv ("EV::Async" , 1);
382 467
383 { 468 {
384 SV *sv = perl_get_sv ("EV::API", TRUE); 469 SV *sv = perl_get_sv ("EV::API", TRUE);
385 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 470 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
386 471
387 /* the poor man's shared library emulator */ 472 /* the poor man's shared library emulator */
388 evapi.ver = EV_API_VERSION; 473 evapi.ver = EV_API_VERSION;
389 evapi.rev = EV_API_REVISION; 474 evapi.rev = EV_API_REVISION;
390 evapi.sv_fileno = sv_fileno; 475 evapi.sv_fileno = sv_fileno;
391 evapi.sv_signum = sv_signum; 476 evapi.sv_signum = s_signum;
392 evapi.supported_backends = ev_supported_backends (); 477 evapi.supported_backends = ev_supported_backends ();
393 evapi.recommended_backends = ev_recommended_backends (); 478 evapi.recommended_backends = ev_recommended_backends ();
394 evapi.embeddable_backends = ev_embeddable_backends (); 479 evapi.embeddable_backends = ev_embeddable_backends ();
395 evapi.time_ = ev_time; 480 evapi.time_ = ev_time;
396 evapi.sleep_ = ev_sleep; 481 evapi.sleep_ = ev_sleep;
397 evapi.loop_new = ev_loop_new; 482 evapi.loop_new = ev_loop_new;
398 evapi.loop_destroy = ev_loop_destroy; 483 evapi.loop_destroy = ev_loop_destroy;
399 evapi.loop_fork = ev_loop_fork; 484 evapi.loop_fork = ev_loop_fork;
400 evapi.loop_count = ev_loop_count; 485 evapi.iteration = ev_iteration;
486 evapi.depth = ev_depth;
487 evapi.set_userdata = ev_set_userdata;
488 evapi.userdata = ev_userdata;
401 evapi.now = ev_now; 489 evapi.now = ev_now;
490 evapi.now_update = ev_now_update;
491 evapi.suspend = ev_suspend;
492 evapi.resume = ev_resume;
402 evapi.backend = ev_backend; 493 evapi.backend = ev_backend;
403 evapi.unloop = ev_unloop; 494 evapi.break_ = ev_break;
495 evapi.invoke_pending = ev_invoke_pending;
496 evapi.pending_count = ev_pending_count;
497 evapi.verify = ev_verify;
498 evapi.set_loop_release_cb = ev_set_loop_release_cb;
499 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
404 evapi.ref = ev_ref; 500 evapi.ref = ev_ref;
405 evapi.unref = ev_unref; 501 evapi.unref = ev_unref;
406 evapi.loop = ev_loop; 502 evapi.run = ev_run;
407 evapi.once = ev_once; 503 evapi.once = ev_once;
408 evapi.io_start = ev_io_start; 504 evapi.io_start = ev_io_start;
409 evapi.io_stop = ev_io_stop; 505 evapi.io_stop = ev_io_stop;
410 evapi.timer_start = ev_timer_start; 506 evapi.timer_start = ev_timer_start;
411 evapi.timer_stop = ev_timer_stop; 507 evapi.timer_stop = ev_timer_stop;
412 evapi.timer_again = ev_timer_again; 508 evapi.timer_again = ev_timer_again;
509 evapi.timer_remaining = ev_timer_remaining;
413 evapi.periodic_start = ev_periodic_start; 510 evapi.periodic_start = ev_periodic_start;
414 evapi.periodic_stop = ev_periodic_stop; 511 evapi.periodic_stop = ev_periodic_stop;
415 evapi.signal_start = ev_signal_start; 512 evapi.signal_start = ev_signal_start;
416 evapi.signal_stop = ev_signal_stop; 513 evapi.signal_stop = ev_signal_stop;
417 evapi.idle_start = ev_idle_start; 514 evapi.idle_start = ev_idle_start;
418 evapi.idle_stop = ev_idle_stop; 515 evapi.idle_stop = ev_idle_stop;
419 evapi.prepare_start = ev_prepare_start; 516 evapi.prepare_start = ev_prepare_start;
420 evapi.prepare_stop = ev_prepare_stop; 517 evapi.prepare_stop = ev_prepare_stop;
421 evapi.check_start = ev_check_start; 518 evapi.check_start = ev_check_start;
422 evapi.check_stop = ev_check_stop; 519 evapi.check_stop = ev_check_stop;
520#if EV_CHILD_ENABLE
423 evapi.child_start = ev_child_start; 521 evapi.child_start = ev_child_start;
424 evapi.child_stop = ev_child_stop; 522 evapi.child_stop = ev_child_stop;
523#endif
425 evapi.stat_start = ev_stat_start; 524 evapi.stat_start = ev_stat_start;
426 evapi.stat_stop = ev_stat_stop; 525 evapi.stat_stop = ev_stat_stop;
427 evapi.stat_stat = ev_stat_stat; 526 evapi.stat_stat = ev_stat_stat;
428 evapi.embed_start = ev_embed_start; 527 evapi.embed_start = ev_embed_start;
429 evapi.embed_stop = ev_embed_stop; 528 evapi.embed_stop = ev_embed_stop;
430 evapi.embed_sweep = ev_embed_sweep; 529 evapi.embed_sweep = ev_embed_sweep;
431 evapi.fork_start = ev_fork_start; 530 evapi.fork_start = ev_fork_start;
432 evapi.fork_stop = ev_fork_stop; 531 evapi.fork_stop = ev_fork_stop;
532 evapi.cleanup_start = ev_cleanup_start;
533 evapi.cleanup_stop = ev_cleanup_stop;
433 evapi.async_start = ev_async_start; 534 evapi.async_start = ev_async_start;
434 evapi.async_stop = ev_async_stop; 535 evapi.async_stop = ev_async_stop;
435 evapi.async_send = ev_async_send; 536 evapi.async_send = ev_async_send;
436 evapi.clear_pending = ev_clear_pending; 537 evapi.clear_pending = ev_clear_pending;
437 evapi.invoke = ev_invoke; 538 evapi.invoke = ev_invoke;
438 539
439 sv_setiv (sv, (IV)&evapi); 540 sv_setiv (sv, (IV)&evapi);
440 SvREADONLY_on (sv); 541 SvREADONLY_on (sv);
441 } 542 }
442#ifndef _WIN32 543#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
544/* unfortunately, musl neither implements the linux standard base,
545/* nor makes itself detectable via macros. yeah, right... */
546#if __linux && (__GLIBC__ || __UCLIBC__)
547 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
548 __register_atfork (0, 0, default_fork, 0);
549#else
443 pthread_atfork (0, 0, ev_default_fork); 550 pthread_atfork (0, 0, default_fork);
551#endif
444#endif 552#endif
445} 553}
446 554
447SV *ev_default_loop (unsigned int flags = 0) 555SV *ev_default_loop (unsigned int flags = 0)
448 CODE: 556 CODE:
462 OUTPUT: 570 OUTPUT:
463 RETVAL 571 RETVAL
464 572
465void ev_default_destroy () 573void ev_default_destroy ()
466 CODE: 574 CODE:
467 ev_default_destroy (); 575 ev_loop_destroy (EV_DEFAULT_UC);
468 SvREFCNT_dec (default_loop_sv); 576 SvREFCNT_dec (default_loop_sv);
469 default_loop_sv = 0; 577 default_loop_sv = 0;
470 578
471unsigned int ev_supported_backends () 579unsigned int ev_supported_backends ()
472 580
473unsigned int ev_recommended_backends () 581unsigned int ev_recommended_backends ()
474 582
475unsigned int ev_embeddable_backends () 583unsigned int ev_embeddable_backends ()
476 584
585void ev_sleep (NV interval)
586
477NV ev_time () 587NV ev_time ()
588
589void ev_feed_signal (SV *signal)
590 CODE:
591{
592 Signal signum = s_signum (signal);
593 CHECK_SIG (signal, signum);
594
595 ev_feed_signal (signum);
596}
478 597
479NV ev_now () 598NV ev_now ()
480 C_ARGS: evapi.default_loop 599 C_ARGS: evapi.default_loop
481 600
601void ev_now_update ()
602 C_ARGS: evapi.default_loop
603
604void ev_suspend ()
605 C_ARGS: evapi.default_loop
606
607void ev_resume ()
608 C_ARGS: evapi.default_loop
609
482unsigned int ev_backend () 610unsigned int ev_backend ()
483 C_ARGS: evapi.default_loop 611 C_ARGS: evapi.default_loop
484 612
613void ev_verify ()
614 ALIAS:
615 loop_verify = 1
616 C_ARGS: evapi.default_loop
617
618unsigned int ev_iteration ()
619 ALIAS:
620 loop_count = 1
621 C_ARGS: evapi.default_loop
622
485unsigned int ev_loop_count () 623unsigned int ev_depth ()
624 ALIAS:
625 loop_depth = 1
486 C_ARGS: evapi.default_loop 626 C_ARGS: evapi.default_loop
487 627
488void ev_set_io_collect_interval (NV interval) 628void ev_set_io_collect_interval (NV interval)
489 C_ARGS: evapi.default_loop, interval 629 C_ARGS: evapi.default_loop, interval
490 630
491void ev_set_timeout_collect_interval (NV interval) 631void ev_set_timeout_collect_interval (NV interval)
492 C_ARGS: evapi.default_loop, interval 632 C_ARGS: evapi.default_loop, interval
493 633
494void ev_loop (int flags = 0) 634int ev_run (int flags = 0)
635 ALIAS:
636 loop = 1
495 C_ARGS: evapi.default_loop, flags 637 C_ARGS: evapi.default_loop, flags
496 638
497void ev_unloop (int how = EVUNLOOP_ONE) 639void ev_break (int how = EVBREAK_ONE)
640 ALIAS:
641 unloop = 1
498 C_ARGS: evapi.default_loop, how 642 C_ARGS: evapi.default_loop, how
499 643
500void ev_feed_fd_event (int fd, int revents = EV_NONE) 644void ev_feed_fd_event (int fd, int revents = EV_NONE)
501 C_ARGS: evapi.default_loop, fd, revents 645 C_ARGS: evapi.default_loop, fd, revents
502 646
503void ev_feed_signal_event (SV *signal) 647void ev_feed_signal_event (SV *signal)
504 CODE: 648 CODE:
505{ 649{
506 Signal signum = sv_signum (signal); 650 Signal signum = s_signum (signal);
507 CHECK_SIG (signal, signum); 651 CHECK_SIG (signal, signum);
508 652
509 ev_feed_signal_event (evapi.default_loop, signum); 653 ev_feed_signal_event (evapi.default_loop, signum);
510} 654}
511 655
656unsigned int ev_pending_count ()
657 C_ARGS: evapi.default_loop
658
659void ev_invoke_pending ()
660 C_ARGS: evapi.default_loop
661
512ev_io *io (SV *fh, int events, SV *cb) 662ev_io *io (SV *fh, int events, SV *cb)
513 ALIAS: 663 ALIAS:
514 io_ns = 1 664 io_ns = 1
665 _ae_io = 2
515 CODE: 666 CODE:
516{ 667{
517 int fd = sv_fileno (fh); 668 int fd = s_fileno (fh, events & EV_WRITE);
518 CHECK_FD (fh, fd); 669 CHECK_FD (fh, fd);
519 670
671 if (ix == 2)
672 {
673 ix = 0;
674 events = events ? EV_WRITE : EV_READ;
675 }
676
520 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv); 677 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
521 RETVAL->fh = newSVsv (fh); 678 e_fh (RETVAL) = newSVsv (fh);
522 ev_io_set (RETVAL, fd, events); 679 ev_io_set (RETVAL, fd, events);
523 if (!ix) START (io, RETVAL); 680 if (!ix) START (io, RETVAL);
524} 681}
525 OUTPUT: 682 OUTPUT:
526 RETVAL 683 RETVAL
542 periodic_ns = 1 699 periodic_ns = 1
543 INIT: 700 INIT:
544 CHECK_REPEAT (interval); 701 CHECK_REPEAT (interval);
545 CODE: 702 CODE:
546{ 703{
547 ev_periodic *w; 704 ev_periodic *w;
548 w = e_new (sizeof (ev_periodic), cb, default_loop_sv); 705 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
549 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 706 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
550 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 707 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
551 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 708 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
552 if (!ix) START (periodic, w); 709 if (!ix) START (periodic, w);
553} 710}
554 OUTPUT: 711 OUTPUT:
555 RETVAL 712 RETVAL
557ev_signal *signal (SV *signal, SV *cb) 714ev_signal *signal (SV *signal, SV *cb)
558 ALIAS: 715 ALIAS:
559 signal_ns = 1 716 signal_ns = 1
560 CODE: 717 CODE:
561{ 718{
562 Signal signum = sv_signum (signal); 719 Signal signum = s_signum (signal);
563 CHECK_SIG (signal, signum); 720 CHECK_SIG (signal, signum);
564 721
565 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv); 722 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
566 ev_signal_set (RETVAL, signum); 723 ev_signal_set (RETVAL, signum);
567 if (!ix) START (signal, RETVAL); 724 if (!ix) START_SIGNAL (RETVAL);
568} 725}
569 OUTPUT: 726 OUTPUT:
570 RETVAL 727 RETVAL
571 728
572ev_idle *idle (SV *cb) 729ev_idle *idle (SV *cb)
607 ev_fork_set (RETVAL); 764 ev_fork_set (RETVAL);
608 if (!ix) START (fork, RETVAL); 765 if (!ix) START (fork, RETVAL);
609 OUTPUT: 766 OUTPUT:
610 RETVAL 767 RETVAL
611 768
769#if CLEANUP_ENABLED
770
771ev_cleanup *cleanup (SV *cb)
772 ALIAS:
773 cleanup_ns = 1
774 CODE:
775 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
776 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
777 ev_cleanup_set (RETVAL);
778 if (!ix) START (cleanup, RETVAL);
779 OUTPUT:
780 RETVAL
781
782#endif
783
612ev_child *child (int pid, int trace, SV *cb) 784ev_child *child (int pid, int trace, SV *cb)
613 ALIAS: 785 ALIAS:
614 child_ns = 1 786 child_ns = 1
615 CODE: 787 CODE:
788#if EV_CHILD_ENABLE
616 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv); 789 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
617 ev_child_set (RETVAL, pid, trace); 790 ev_child_set (RETVAL, pid, trace);
618 if (!ix) START (child, RETVAL); 791 if (!ix) START (child, RETVAL);
792#else
793 croak ("EV::child watchers not supported on this platform");
794#endif
619 OUTPUT: 795 OUTPUT:
620 RETVAL 796 RETVAL
797
621 798
622ev_stat *stat (SV *path, NV interval, SV *cb) 799ev_stat *stat (SV *path, NV interval, SV *cb)
623 ALIAS: 800 ALIAS:
624 stat_ns = 1 801 stat_ns = 1
625 CODE: 802 CODE:
626 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv); 803 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
627 RETVAL->fh = newSVsv (path); 804 e_fh (RETVAL) = newSVsv (path);
628 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 805 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
629 if (!ix) START (stat, RETVAL); 806 if (!ix) START (stat, RETVAL);
630 OUTPUT: 807 OUTPUT:
631 RETVAL 808 RETVAL
632 809
810#ifndef EV_NO_LOOPS
811
633ev_embed *embed (struct ev_loop *loop, SV *cb = &PL_sv_undef) 812ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
634 ALIAS: 813 ALIAS:
635 embed_ns = 1 814 embed_ns = 1
636 CODE: 815 CODE:
637{ 816{
638 if (!(ev_backend (loop) & ev_embeddable_backends ())) 817 if (!(ev_backend (loop) & ev_embeddable_backends ()))
639 croak ("passed loop is not embeddable via EV::embed,"); 818 croak ("passed loop is not embeddable via EV::embed,");
640 819
641 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv); 820 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
642 RETVAL->fh = newSVsv (ST (0)); 821 e_fh (RETVAL) = newSVsv (ST (0));
643 ev_embed_set (RETVAL, loop); 822 ev_embed_set (RETVAL, loop);
644
645 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
646
647 if (!ix) START (embed, RETVAL); 823 if (!ix) START (embed, RETVAL);
648} 824}
649 OUTPUT: 825 OUTPUT:
650 RETVAL 826 RETVAL
827
828#endif
651 829
652ev_async *async (SV *cb) 830ev_async *async (SV *cb)
653 ALIAS: 831 ALIAS:
654 async_ns = 1 832 async_ns = 1
655 CODE: 833 CODE:
661 839
662void once (SV *fh, int events, SV *timeout, SV *cb) 840void once (SV *fh, int events, SV *timeout, SV *cb)
663 CODE: 841 CODE:
664 ev_once ( 842 ev_once (
665 evapi.default_loop, 843 evapi.default_loop,
666 sv_fileno (fh), events, 844 s_fileno (fh, events & EV_WRITE), events,
667 SvOK (timeout) ? SvNV (timeout) : -1., 845 SvOK (timeout) ? SvNV (timeout) : -1.,
668 e_once_cb, 846 e_once_cb,
669 newSVsv (cb) 847 newSVsv (cb)
670 ); 848 );
671 849
692 RETVAL = w->e_flags & WFLAG_KEEPALIVE; 870 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
693 new_value = new_value ? WFLAG_KEEPALIVE : 0; 871 new_value = new_value ? WFLAG_KEEPALIVE : 0;
694 872
695 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE)) 873 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
696 { 874 {
875 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
697 REF (w); 876 REF (w);
698 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
699 UNREF (w); 877 UNREF (w);
700 } 878 }
701} 879}
702 OUTPUT: 880 OUTPUT:
703 RETVAL 881 RETVAL
704 882
705SV *cb (ev_watcher *w, SV *new_cb = 0) 883SV *cb (ev_watcher *w, SV *new_cb = 0)
706 CODE: 884 CODE:
707{ 885{
708 RETVAL = newSVsv (w->cb_sv);
709
710 if (items > 1) 886 if (items > 1)
711 sv_setsv (w->cb_sv, new_cb); 887 {
888 new_cb = s_get_cv_croak (new_cb);
889 RETVAL = newRV_noinc (w->cb_sv);
890 w->cb_sv = SvREFCNT_inc (new_cb);
891 }
892 else
893 RETVAL = newRV_inc (w->cb_sv);
712} 894}
713 OUTPUT: 895 OUTPUT:
714 RETVAL 896 RETVAL
715 897
716SV *data (ev_watcher *w, SV *new_data = 0) 898SV *data (ev_watcher *w, SV *new_data = 0)
781 e_destroy (w); 963 e_destroy (w);
782 964
783void set (ev_io *w, SV *fh, int events) 965void set (ev_io *w, SV *fh, int events)
784 CODE: 966 CODE:
785{ 967{
786 int fd = sv_fileno (fh); 968 int fd = s_fileno (fh, events & EV_WRITE);
787 CHECK_FD (fh, fd); 969 CHECK_FD (fh, fd);
788 970
789 sv_setsv (w->fh, fh); 971 sv_setsv (e_fh (w), fh);
790 RESET (io, w, (w, fd, events)); 972 RESET (io, w, (w, fd, events));
791} 973}
792 974
793SV *fh (ev_io *w, SV *new_fh = 0) 975SV *fh (ev_io *w, SV *new_fh = 0)
794 CODE: 976 CODE:
795{ 977{
796 if (items > 1) 978 if (items > 1)
797 { 979 {
798 int fd = sv_fileno (new_fh); 980 int fd = s_fileno (new_fh, w->events & EV_WRITE);
799 CHECK_FD (new_fh, fd); 981 CHECK_FD (new_fh, fd);
800 982
801 RETVAL = w->fh; 983 RETVAL = e_fh (w);
802 w->fh = newSVsv (new_fh); 984 e_fh (w) = newSVsv (new_fh);
803 985
804 RESET (io, w, (w, fd, w->events)); 986 RESET (io, w, (w, fd, w->events));
805 } 987 }
806 else 988 else
807 RETVAL = newSVsv (w->fh); 989 RETVAL = newSVsv (e_fh (w));
808} 990}
809 OUTPUT: 991 OUTPUT:
810 RETVAL 992 RETVAL
811 993
812int events (ev_io *w, int new_events = EV_UNDEF) 994int events (ev_io *w, int new_events = EV_UNDEF)
822 1004
823MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1005MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
824 1006
825void ev_signal_start (ev_signal *w) 1007void ev_signal_start (ev_signal *w)
826 CODE: 1008 CODE:
827 START (signal, w); 1009 START_SIGNAL (w);
828 1010
829void ev_signal_stop (ev_signal *w) 1011void ev_signal_stop (ev_signal *w)
830 CODE: 1012 CODE:
831 STOP (signal, w); 1013 STOP (signal, w);
832 1014
836 e_destroy (w); 1018 e_destroy (w);
837 1019
838void set (ev_signal *w, SV *signal) 1020void set (ev_signal *w, SV *signal)
839 CODE: 1021 CODE:
840{ 1022{
841 Signal signum = sv_signum (signal); 1023 Signal signum = s_signum (signal);
842 CHECK_SIG (signal, signum); 1024 CHECK_SIG (signal, signum);
843 1025
844 RESET (signal, w, (w, signum)); 1026 RESET_SIGNAL (w, (w, signum));
845} 1027}
846 1028
847int signal (ev_signal *w, SV *new_signal = 0) 1029int signal (ev_signal *w, SV *new_signal = 0)
848 CODE: 1030 CODE:
849{ 1031{
850 RETVAL = w->signum; 1032 RETVAL = w->signum;
851 1033
852 if (items > 1) 1034 if (items > 1)
853 { 1035 {
854 Signal signum = sv_signum (new_signal); 1036 Signal signum = s_signum (new_signal);
855 CHECK_SIG (new_signal, signum); 1037 CHECK_SIG (new_signal, signum);
856 1038
857 RESET (signal, w, (w, signum)); 1039 RESET_SIGNAL (w, (w, signum));
858 } 1040 }
859} 1041}
860 OUTPUT: 1042 OUTPUT:
861 RETVAL 1043 RETVAL
862 1044
870 1052
871void ev_timer_stop (ev_timer *w) 1053void ev_timer_stop (ev_timer *w)
872 CODE: 1054 CODE:
873 STOP (timer, w); 1055 STOP (timer, w);
874 1056
875void ev_timer_again (ev_timer *w) 1057void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
876 INIT: 1058 CODE:
1059 if (items > 1)
1060 w->repeat = repeat;
877 CHECK_REPEAT (w->repeat); 1061 CHECK_REPEAT (w->repeat);
878 CODE:
879 REF (w);
880 ev_timer_again (e_loop (w), w); 1062 ev_timer_again (e_loop (w), w);
881 UNREF (w); 1063 UNREF (w);
1064
1065NV ev_timer_remaining (ev_timer *w)
1066 C_ARGS: e_loop (w), w
882 1067
883void DESTROY (ev_timer *w) 1068void DESTROY (ev_timer *w)
884 CODE: 1069 CODE:
885 STOP (timer, w); 1070 STOP (timer, w);
886 e_destroy (w); 1071 e_destroy (w);
889 INIT: 1074 INIT:
890 CHECK_REPEAT (repeat); 1075 CHECK_REPEAT (repeat);
891 CODE: 1076 CODE:
892 RESET (timer, w, (w, after, repeat)); 1077 RESET (timer, w, (w, after, repeat));
893 1078
894NV at (ev_timer *w)
895 CODE:
896 RETVAL = w->at;
897 OUTPUT:
898 RETVAL
899
900MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_ 1079MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_
901 1080
902void ev_periodic_start (ev_periodic *w) 1081void ev_periodic_start (ev_periodic *w)
903 INIT: 1082 INIT:
904 CHECK_REPEAT (w->interval); 1083 CHECK_REPEAT (w->interval);
909 CODE: 1088 CODE:
910 STOP (periodic, w); 1089 STOP (periodic, w);
911 1090
912void ev_periodic_again (ev_periodic *w) 1091void ev_periodic_again (ev_periodic *w)
913 CODE: 1092 CODE:
914 REF (w);
915 ev_periodic_again (e_loop (w), w); 1093 ev_periodic_again (e_loop (w), w);
916 UNREF (w); 1094 UNREF (w);
917 1095
918void DESTROY (ev_periodic *w) 1096void DESTROY (ev_periodic *w)
919 CODE: 1097 CODE:
923void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1101void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
924 INIT: 1102 INIT:
925 CHECK_REPEAT (interval); 1103 CHECK_REPEAT (interval);
926 CODE: 1104 CODE:
927{ 1105{
928 SvREFCNT_dec (w->fh); 1106 SvREFCNT_dec (e_fh (w));
929 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1107 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
930 1108
931 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1109 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
932} 1110}
933 1111
934NV at (ev_periodic *w) 1112NV at (ev_periodic *w)
935 CODE: 1113 CODE:
936 RETVAL = w->at; 1114 RETVAL = ev_periodic_at (w);
937 OUTPUT: 1115 OUTPUT:
938 RETVAL 1116 RETVAL
939 1117
940MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1118MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
941 1119
995void DESTROY (ev_fork *w) 1173void DESTROY (ev_fork *w)
996 CODE: 1174 CODE:
997 STOP (fork, w); 1175 STOP (fork, w);
998 e_destroy (w); 1176 e_destroy (w);
999 1177
1178#if CLEANUP_ENABLED
1179
1180MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1181
1182void ev_cleanup_start (ev_cleanup *w)
1183 CODE:
1184 START (cleanup, w);
1185
1186void ev_cleanup_stop (ev_cleanup *w)
1187 CODE:
1188 STOP (cleanup, w);
1189
1190void DESTROY (ev_cleanup *w)
1191 CODE:
1192 STOP (cleanup, w);
1193 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1194 e_destroy (w);
1195
1196int keepalive (ev_watcher *w, SV *new_value = 0)
1197 CODE:
1198 RETVAL = 1;
1199 OUTPUT:
1200 RETVAL
1201
1202#endif
1203
1000MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1204MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1205
1206#if EV_CHILD_ENABLE
1001 1207
1002void ev_child_start (ev_child *w) 1208void ev_child_start (ev_child *w)
1003 CODE: 1209 CODE:
1004 START (child, w); 1210 START (child, w);
1005 1211
1025 : ix == 1 ? w->rpid 1231 : ix == 1 ? w->rpid
1026 : w->rstatus; 1232 : w->rstatus;
1027 OUTPUT: 1233 OUTPUT:
1028 RETVAL 1234 RETVAL
1029 1235
1236#endif
1237
1030MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1238MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
1031 1239
1032void ev_stat_start (ev_stat *w) 1240void ev_stat_start (ev_stat *w)
1033 CODE: 1241 CODE:
1034 START (stat, w); 1242 START (stat, w);
1043 e_destroy (w); 1251 e_destroy (w);
1044 1252
1045void set (ev_stat *w, SV *path, NV interval) 1253void set (ev_stat *w, SV *path, NV interval)
1046 CODE: 1254 CODE:
1047{ 1255{
1048 sv_setsv (w->fh, path); 1256 sv_setsv (e_fh (w), path);
1049 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1257 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
1050} 1258}
1051 1259
1052SV *path (ev_stat *w, SV *new_path = 0) 1260SV *path (ev_stat *w, SV *new_path = 0)
1053 CODE: 1261 CODE:
1054{ 1262{
1055 RETVAL = SvREFCNT_inc (w->fh); 1263 RETVAL = SvREFCNT_inc (e_fh (w));
1056 1264
1057 if (items > 1) 1265 if (items > 1)
1058 { 1266 {
1059 SvREFCNT_dec (w->fh); 1267 SvREFCNT_dec (e_fh (w));
1060 w->fh = newSVsv (new_path); 1268 e_fh (w) = newSVsv (new_path);
1061 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1269 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
1062 } 1270 }
1063} 1271}
1064 OUTPUT: 1272 OUTPUT:
1065 RETVAL 1273 RETVAL
1066 1274
1068 CODE: 1276 CODE:
1069{ 1277{
1070 RETVAL = w->interval; 1278 RETVAL = w->interval;
1071 1279
1072 if (items > 1) 1280 if (items > 1)
1073 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1281 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
1074} 1282}
1075 OUTPUT: 1283 OUTPUT:
1076 RETVAL 1284 RETVAL
1077 1285
1078void prev (ev_stat *w) 1286void prev (ev_stat *w)
1137 e_destroy (w); 1345 e_destroy (w);
1138 1346
1139void set (ev_embed *w, struct ev_loop *loop) 1347void set (ev_embed *w, struct ev_loop *loop)
1140 CODE: 1348 CODE:
1141{ 1349{
1142 sv_setsv (w->fh, ST (1)); 1350 sv_setsv (e_fh (w), ST (1));
1143 RESET (embed, w, (w, loop)); 1351 RESET (embed, w, (w, loop));
1144} 1352}
1145 1353
1146SV *other (ev_embed *w) 1354SV *other (ev_embed *w)
1147 CODE: 1355 CODE:
1148 RETVAL = newSVsv (w->fh); 1356 RETVAL = newSVsv (e_fh (w));
1149 OUTPUT: 1357 OUTPUT:
1150 RETVAL 1358 RETVAL
1151 1359
1152void ev_embed_sweep (ev_embed *w) 1360void ev_embed_sweep (ev_embed *w)
1153 C_ARGS: e_loop (w), w 1361 C_ARGS: e_loop (w), w
1174 CODE: 1382 CODE:
1175 RETVAL = boolSV (ev_async_pending (w)); 1383 RETVAL = boolSV (ev_async_pending (w));
1176 OUTPUT: 1384 OUTPUT:
1177 RETVAL 1385 RETVAL
1178 1386
1387#ifndef EV_NO_LOOPS
1388
1179MODULE = EV PACKAGE = EV::Loop PREFIX = ev_ 1389MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1180 1390
1181SV *new (SV *klass, unsigned int flags = 0) 1391SV *new (SV *klass, unsigned int flags = 0)
1182 CODE: 1392 CODE:
1183{ 1393{
1191 OUTPUT: 1401 OUTPUT:
1192 RETVAL 1402 RETVAL
1193 1403
1194void DESTROY (struct ev_loop *loop) 1404void DESTROY (struct ev_loop *loop)
1195 CODE: 1405 CODE:
1196 if (loop != evapi.default_loop) /* global destruction sucks */ 1406 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1407 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1408 if (loop != evapi.default_loop)
1197 ev_loop_destroy (loop); 1409 ev_loop_destroy (loop);
1198 1410
1199void ev_loop_fork (struct ev_loop *loop) 1411void ev_loop_fork (struct ev_loop *loop)
1200 1412
1201NV ev_now (struct ev_loop *loop) 1413NV ev_now (struct ev_loop *loop)
1202 1414
1415void ev_now_update (struct ev_loop *loop)
1416
1417void ev_suspend (struct ev_loop *loop)
1418
1419void ev_resume (struct ev_loop *loop)
1420
1203void ev_set_io_collect_interval (struct ev_loop *loop, NV interval) 1421void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1204 1422
1205void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval) 1423void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1206 1424
1207unsigned int ev_backend (struct ev_loop *loop) 1425unsigned int ev_backend (struct ev_loop *loop)
1208 1426
1209unsigned int ev_loop_count (struct ev_loop *loop) 1427void ev_verify (struct ev_loop *loop)
1428 ALIAS:
1429 loop_verify = 1
1210 1430
1211void ev_loop (struct ev_loop *loop, int flags = 0) 1431unsigned int ev_iteration (struct ev_loop *loop)
1432 ALIAS:
1433 loop_count = 1
1212 1434
1435unsigned int ev_depth (struct ev_loop *loop)
1436 ALIAS:
1437 loop_depth = 1
1438
1439int ev_run (struct ev_loop *loop, int flags = 0)
1440 ALIAS:
1441 loop = 1
1442
1213void ev_unloop (struct ev_loop *loop, int how = 1) 1443void ev_break (struct ev_loop *loop, int how = 1)
1444 ALIAS:
1445 unloop = 1
1214 1446
1215void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE) 1447void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1216 1448
1449unsigned int ev_pending_count (struct ev_loop *loop)
1450
1451void ev_invoke_pending (struct ev_loop *loop)
1452
1217#if 0 1453#if 0
1218 1454
1219void ev_feed_signal_event (struct ev_loop *loop, SV *signal) 1455void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1220 CODE: 1456 CODE:
1221{ 1457{
1222 Signal signum = sv_signum (signal); 1458 Signal signum = s_signum (signal);
1223 CHECK_SIG (signal, signum); 1459 CHECK_SIG (signal, signum);
1224 1460
1225 ev_feed_signal_event (loop, signum); 1461 ev_feed_signal_event (loop, signum);
1226} 1462}
1227 1463
1230ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb) 1466ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1231 ALIAS: 1467 ALIAS:
1232 io_ns = 1 1468 io_ns = 1
1233 CODE: 1469 CODE:
1234{ 1470{
1235 int fd = sv_fileno (fh); 1471 int fd = s_fileno (fh, events & EV_WRITE);
1236 CHECK_FD (fh, fd); 1472 CHECK_FD (fh, fd);
1237 1473
1238 RETVAL = e_new (sizeof (ev_io), cb, ST (0)); 1474 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1239 RETVAL->fh = newSVsv (fh); 1475 e_fh (RETVAL) = newSVsv (fh);
1240 ev_io_set (RETVAL, fd, events); 1476 ev_io_set (RETVAL, fd, events);
1241 if (!ix) START (io, RETVAL); 1477 if (!ix) START (io, RETVAL);
1242} 1478}
1243 OUTPUT: 1479 OUTPUT:
1244 RETVAL 1480 RETVAL
1260 periodic_ns = 1 1496 periodic_ns = 1
1261 INIT: 1497 INIT:
1262 CHECK_REPEAT (interval); 1498 CHECK_REPEAT (interval);
1263 CODE: 1499 CODE:
1264{ 1500{
1265 ev_periodic *w; 1501 ev_periodic *w;
1266 w = e_new (sizeof (ev_periodic), cb, ST (0)); 1502 w = e_new (sizeof (ev_periodic), cb, ST (0));
1267 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1503 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1268 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 1504 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1269 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 1505 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1270 if (!ix) START (periodic, w); 1506 if (!ix) START (periodic, w);
1271} 1507}
1272 OUTPUT: 1508 OUTPUT:
1273 RETVAL 1509 RETVAL
1274 1510
1275#if 0
1276
1277ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb) 1511ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1278 ALIAS: 1512 ALIAS:
1279 signal_ns = 1 1513 signal_ns = 1
1280 CODE: 1514 CODE:
1281{ 1515{
1282 Signal signum = sv_signum (signal); 1516 Signal signum = s_signum (signal);
1283 CHECK_SIG (signal, signum); 1517 CHECK_SIG (signal, signum);
1284 1518
1285 RETVAL = e_new (sizeof (ev_signal), cb, ST (0)); 1519 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1286 ev_signal_set (RETVAL, signum); 1520 ev_signal_set (RETVAL, signum);
1287 if (!ix) START (signal, RETVAL); 1521 if (!ix) START_SIGNAL (RETVAL);
1288} 1522}
1289 OUTPUT: 1523 OUTPUT:
1290 RETVAL 1524 RETVAL
1291
1292#endif
1293 1525
1294ev_idle *idle (struct ev_loop *loop, SV *cb) 1526ev_idle *idle (struct ev_loop *loop, SV *cb)
1295 ALIAS: 1527 ALIAS:
1296 idle_ns = 1 1528 idle_ns = 1
1297 CODE: 1529 CODE:
1329 ev_fork_set (RETVAL); 1561 ev_fork_set (RETVAL);
1330 if (!ix) START (fork, RETVAL); 1562 if (!ix) START (fork, RETVAL);
1331 OUTPUT: 1563 OUTPUT:
1332 RETVAL 1564 RETVAL
1333 1565
1566#if CLEANUP_ENABLED
1567
1568ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1569 ALIAS:
1570 cleanup_ns = 1
1571 CODE:
1572 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1573 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1574 ev_cleanup_set (RETVAL);
1575 if (!ix) START (cleanup, RETVAL);
1576 OUTPUT:
1577 RETVAL
1578
1579#endif
1580
1334ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb) 1581ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1335 ALIAS: 1582 ALIAS:
1336 child_ns = 1 1583 child_ns = 1
1337 CODE: 1584 CODE:
1585#if EV_CHILD_ENABLE
1338 RETVAL = e_new (sizeof (ev_child), cb, ST (0)); 1586 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1339 ev_child_set (RETVAL, pid, trace); 1587 ev_child_set (RETVAL, pid, trace);
1340 if (!ix) START (child, RETVAL); 1588 if (!ix) START (child, RETVAL);
1589#else
1590 croak ("EV::child watchers not supported on this platform");
1591#endif
1341 OUTPUT: 1592 OUTPUT:
1342 RETVAL 1593 RETVAL
1343 1594
1344ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb) 1595ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1345 ALIAS: 1596 ALIAS:
1346 stat_ns = 1 1597 stat_ns = 1
1347 CODE: 1598 CODE:
1348 RETVAL = e_new (sizeof (ev_stat), cb, ST (0)); 1599 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1349 RETVAL->fh = newSVsv (path); 1600 e_fh (RETVAL) = newSVsv (path);
1350 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 1601 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1351 if (!ix) START (stat, RETVAL); 1602 if (!ix) START (stat, RETVAL);
1352 OUTPUT: 1603 OUTPUT:
1353 RETVAL 1604 RETVAL
1354 1605
1355ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = &PL_sv_undef) 1606ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1356 ALIAS: 1607 ALIAS:
1357 embed_ns = 1 1608 embed_ns = 1
1358 CODE: 1609 CODE:
1359{ 1610{
1360 if (!(ev_backend (other) & ev_embeddable_backends ())) 1611 if (!(ev_backend (other) & ev_embeddable_backends ()))
1361 croak ("passed loop is not embeddable via EV::embed,"); 1612 croak ("passed loop is not embeddable via EV::embed,");
1362 1613
1363 RETVAL = e_new (sizeof (ev_embed), cb, ST (0)); 1614 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1364 RETVAL->fh = newSVsv (ST (1)); 1615 e_fh (RETVAL) = newSVsv (ST (1));
1365 ev_embed_set (RETVAL, other); 1616 ev_embed_set (RETVAL, other);
1366
1367 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
1368
1369 if (!ix) START (embed, RETVAL); 1617 if (!ix) START (embed, RETVAL);
1370} 1618}
1371 OUTPUT: 1619 OUTPUT:
1372 RETVAL 1620 RETVAL
1373 1621
1383 1631
1384void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb) 1632void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1385 CODE: 1633 CODE:
1386 ev_once ( 1634 ev_once (
1387 loop, 1635 loop,
1388 sv_fileno (fh), events, 1636 s_fileno (fh, events & EV_WRITE), events,
1389 SvOK (timeout) ? SvNV (timeout) : -1., 1637 SvOK (timeout) ? SvNV (timeout) : -1.,
1390 e_once_cb, 1638 e_once_cb,
1391 newSVsv (cb) 1639 newSVsv (cb)
1392 ); 1640 );
1393 1641
1642#endif
1643

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines