ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.143 by root, Sat Oct 23 22:25:44 2010 UTC vs.
Revision 1.183 by root, Wed Aug 16 16:03:37 2023 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/* fix perl api breakage */ 5/* fix perl api breakage */
6#ifndef WIN32
6#undef signal 7# undef signal
7#undef sigaction 8# undef sigaction
9#endif
8 10
9#include "schmorp.h" 11#include "schmorp.h"
10 12
11/* old API compatibility */ 13/* old API compatibility */
12static int 14static int
13sv_fileno (SV *fh) 15sv_fileno (SV *fh)
14{ 16{
15 return s_fileno (fh, 0); 17 return s_fileno (fh, 0);
16} 18}
17 19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26#else
27# define NDEBUG 1
28#endif
29
30/* make sure we get a real assert, not perl's incompatible version */
31#undef assert
32#include <assert.h>
33
18#define EV_STANDALONE 1 34#define EV_STANDALONE 1
19#define EV_PROTOTYPES 1 35#define EV_PROTOTYPES 1
20#define EV_USE_NANOSLEEP EV_USE_MONOTONIC 36#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
21#define EV_H <ev.h> 37#define EV_USE_FLOOR 1
38#define EV_API_STATIC
39#define EV_H "../libev/ev.h"
22#define EV_CONFIG_H error 40#define EV_CONFIG_H error
23#include "EV/EVAPI.h" 41#include "EV/EVAPI.h"
24 42
25#define EV_SELECT_IS_WINSOCKET 0 43#define EV_SELECT_IS_WINSOCKET 0
26#ifdef _WIN32 44#ifdef _WIN32
29# define fd_mask Perl_fd_mask 47# define fd_mask Perl_fd_mask
30#endif 48#endif
31/* due to bugs in OS X we have to use libev/ explicitly here */ 49/* due to bugs in OS X we have to use libev/ explicitly here */
32#include "libev/ev.c" 50#include "libev/ev.c"
33 51
34#if !defined(_WIN32) && !defined(_MINIX) 52#if !defined _WIN32 && !defined __minix && !EV_NO_ATFORK
35# include <pthread.h> 53# include <pthread.h>
36#endif 54#endif
37 55
38#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop)) 56#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
39#define e_flags(w) ((ev_watcher *)(w))->e_flags 57#define e_flags(w) ((ev_watcher *)(w))->e_flags
69 do { \ 87 do { \
70 REF (w); \ 88 REF (w); \
71 ev_ ## type ## _stop (e_loop (w), w); \ 89 ev_ ## type ## _stop (e_loop (w), w); \
72 } while (0) 90 } while (0)
73 91
92#define PAUSE(type) \
93 do { \
94 int active = ev_is_active (w); \
95 if (active) STOP (type, w)
96
97#define RESUME(type) \
98 if (active) START (type, w); \
99 } while (0)
100
101
74#define RESET(type,w,seta) \ 102#define RESET(type,w,seta) \
75 do { \ 103 PAUSE (type); \
76 int active = ev_is_active (w); \
77 if (active) STOP (type, w); \
78 ev_ ## type ## _set seta; \ 104 ev_ ## type ## _set seta; \
79 if (active) START (type, w); \ 105 RESUME (type)
80 } while (0)
81 106
82typedef int Signal; 107typedef int Signal;
83 108
84/* horrible... */ 109/* horrible... */
85#define CHECK_SIGNAL_CAN_START(w) \ 110#define CHECK_SIGNAL_CAN_START(w) \
120 *stash_idle, 145 *stash_idle,
121 *stash_prepare, 146 *stash_prepare,
122 *stash_check, 147 *stash_check,
123 *stash_embed, 148 *stash_embed,
124 *stash_fork, 149 *stash_fork,
150 *stash_cleanup,
125 *stash_async; 151 *stash_async;
126 152
127///////////////////////////////////////////////////////////////////////////// 153/////////////////////////////////////////////////////////////////////////////
128// Event 154// Event
129 155
130static void e_cb (EV_P_ ev_watcher *w, int revents); 156static void e_cb (EV_P_ ev_watcher *w, int revents);
131 157
132void * 158static void *
133e_new (int size, SV *cb_sv, SV *loop) 159e_new (int size, SV *cb_sv, SV *loop)
134{ 160{
135 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0; 161 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
136 ev_watcher *w; 162 ev_watcher *w;
137 SV *self = NEWSV (0, size); 163 SV *self = NEWSV (0, size);
188 dSP; 214 dSP;
189 I32 mark = SP - PL_stack_base; 215 I32 mark = SP - PL_stack_base;
190 SV *sv_self, *sv_events; 216 SV *sv_self, *sv_events;
191 217
192 /* libev might have stopped the watcher */ 218 /* libev might have stopped the watcher */
193 if (expect_false (w->e_flags & WFLAG_UNREFED) 219 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
194 && !ev_is_active (w)) 220 && !ev_is_active (w))
195 REF (w); 221 REF (w);
196 222
197 if (expect_true (sv_self_cache)) 223 if (ecb_expect_true (sv_self_cache))
198 { 224 {
199 sv_self = sv_self_cache; sv_self_cache = 0; 225 sv_self = sv_self_cache; sv_self_cache = 0;
200 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self)); 226 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
201 } 227 }
202 else 228 else
203 { 229 {
204 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */ 230 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
205 SvREADONLY_on (sv_self); 231 SvREADONLY_on (sv_self);
206 } 232 }
207 233
208 if (expect_true (sv_events_cache)) 234 if (ecb_expect_true (sv_events_cache))
209 { 235 {
210 sv_events = sv_events_cache; sv_events_cache = 0; 236 sv_events = sv_events_cache; sv_events_cache = 0;
211 SvIV_set (sv_events, revents); 237 SvIV_set (sv_events, revents);
238 SvIOK_only (sv_events);
212 } 239 }
213 else 240 else
214 { 241 {
215 sv_events = newSViv (revents); 242 sv_events = newSViv (revents);
216 SvREADONLY_on (sv_events); 243 SvREADONLY_on (sv_events);
222 PUSHs (sv_events); 249 PUSHs (sv_events);
223 250
224 PUTBACK; 251 PUTBACK;
225 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 252 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
226 253
227 if (expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache)) 254 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
228 SvREFCNT_dec (sv_self); 255 SvREFCNT_dec (sv_self);
229 else 256 else
230 { 257 {
231 SvREFCNT_dec (SvRV (sv_self)); 258 SvREFCNT_dec (SvRV (sv_self));
232 SvRV_set (sv_self, &PL_sv_undef); 259 SvRV_set (sv_self, &PL_sv_undef);
233 sv_self_cache = sv_self; 260 sv_self_cache = sv_self;
234 } 261 }
235 262
236 if (expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache)) 263 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
237 SvREFCNT_dec (sv_events); 264 SvREFCNT_dec (sv_events);
238 else 265 else
239 sv_events_cache = sv_events; 266 sv_events_cache = sv_events;
240 267
241 if (expect_false (SvTRUE (ERRSV))) 268 if (ecb_expect_false (SvTRUE (ERRSV)))
242 { 269 {
243 SPAGAIN; 270 SPAGAIN;
244 PUSHMARK (SP); 271 PUSHMARK (SP);
245 PUTBACK; 272 PUTBACK;
246 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR); 273 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
340 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 367 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
341 368
342#define CHECK_SIG(sv,num) if ((num) < 0) \ 369#define CHECK_SIG(sv,num) if ((num) < 0) \
343 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 370 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
344 371
372static void
373default_fork (void)
374{
375 ev_loop_fork (EV_DEFAULT_UC);
376}
377
345///////////////////////////////////////////////////////////////////////////// 378/////////////////////////////////////////////////////////////////////////////
346// XS interface functions 379// XS interface functions
347 380
348MODULE = EV PACKAGE = EV PREFIX = ev_ 381MODULE = EV PACKAGE = EV PREFIX = ev_
349 382
364 const_iv (EV_, UNDEF) 397 const_iv (EV_, UNDEF)
365 const_iv (EV_, NONE) 398 const_iv (EV_, NONE)
366 const_iv (EV_, READ) 399 const_iv (EV_, READ)
367 const_iv (EV_, WRITE) 400 const_iv (EV_, WRITE)
368 const_iv (EV_, IO) 401 const_iv (EV_, IO)
369 const_iv (EV_, TIMEOUT) 402 const_iv (EV_, TIMER)
370 const_iv (EV_, PERIODIC) 403 const_iv (EV_, PERIODIC)
371 const_iv (EV_, SIGNAL) 404 const_iv (EV_, SIGNAL)
372 const_iv (EV_, CHILD) 405 const_iv (EV_, CHILD)
373 const_iv (EV_, STAT) 406 const_iv (EV_, STAT)
374 const_iv (EV_, IDLE) 407 const_iv (EV_, IDLE)
375 const_iv (EV_, PREPARE) 408 const_iv (EV_, PREPARE)
376 const_iv (EV_, CHECK) 409 /*const_iv (EV_, CHECK) needs special tretament */
377 const_iv (EV_, EMBED) 410 const_iv (EV_, EMBED)
378 const_iv (EV_, FORK) 411 const_iv (EV_, FORK)
412 const_iv (EV_, CLEANUP)
379 const_iv (EV_, ASYNC) 413 const_iv (EV_, ASYNC)
380 const_iv (EV_, CUSTOM) 414 const_iv (EV_, CUSTOM)
381 const_iv (EV_, ERROR) 415 const_iv (EV_, ERROR)
382 416
383 const_iv (EV, RUN_NOWAIT) 417 const_iv (EV, RUN_NOWAIT)
391 const_iv (EV, BACKEND_EPOLL) 425 const_iv (EV, BACKEND_EPOLL)
392 const_iv (EV, BACKEND_KQUEUE) 426 const_iv (EV, BACKEND_KQUEUE)
393 const_iv (EV, BACKEND_DEVPOLL) 427 const_iv (EV, BACKEND_DEVPOLL)
394 const_iv (EV, BACKEND_PORT) 428 const_iv (EV, BACKEND_PORT)
395 const_iv (EV, BACKEND_ALL) 429 const_iv (EV, BACKEND_ALL)
430 const_iv (EV, BACKEND_MASK)
396 const_iv (EV, FLAG_AUTO) 431 const_iv (EV, FLAG_AUTO)
397 const_iv (EV, FLAG_FORKCHECK) 432 const_iv (EV, FLAG_FORKCHECK)
398 const_iv (EV, FLAG_SIGNALFD) 433 const_iv (EV, FLAG_SIGNALFD)
434 const_iv (EV, FLAG_NOSIGMASK)
399 const_iv (EV, FLAG_NOENV) 435 const_iv (EV, FLAG_NOENV)
400 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
401 const_iv (EV, FLAG_NOINOTIFY) 436 const_iv (EV, FLAG_NOINOTIFY)
402 437
403 const_iv (EV_, VERSION_MAJOR) 438 const_iv (EV_, VERSION_MAJOR)
404 const_iv (EV_, VERSION_MINOR) 439 const_iv (EV_, VERSION_MINOR)
405#if EV_COMPAT3 440#if EV_COMPAT3
441 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
406 const_iv (EV_, TIMER) 442 const_iv (EV_, TIMEOUT)
407
408 const_iv (EV, LOOP_NONBLOCK) 443 const_iv (EV, LOOP_NONBLOCK)
409 const_iv (EV, LOOP_ONESHOT) 444 const_iv (EV, LOOP_ONESHOT)
410
411 const_iv (EV, UNLOOP_CANCEL) 445 const_iv (EV, UNLOOP_CANCEL)
412 const_iv (EV, UNLOOP_ONE) 446 const_iv (EV, UNLOOP_ONE)
413 const_iv (EV, UNLOOP_ALL) 447 const_iv (EV, UNLOOP_ALL)
414#endif 448#endif
415 }; 449 };
416 450
417 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 451 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
418 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 452 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
453
454 /* since this clashes with perl CHECK blocks, */
455 /* but we are interested in constants, */
456 /* and not blocks, we treat CHECK specially. */
457 {
458 /* the local $^W = 0 takes care of the warning */
459 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
460 /* now we need to re-set the gv, in case it was hijacked */
461 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
462 }
419 463
420 stash_loop = gv_stashpv ("EV::Loop" , 1); 464 stash_loop = gv_stashpv ("EV::Loop" , 1);
421 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 465 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
422 stash_io = gv_stashpv ("EV::IO" , 1); 466 stash_io = gv_stashpv ("EV::IO" , 1);
423 stash_timer = gv_stashpv ("EV::Timer" , 1); 467 stash_timer = gv_stashpv ("EV::Timer" , 1);
428 stash_check = gv_stashpv ("EV::Check" , 1); 472 stash_check = gv_stashpv ("EV::Check" , 1);
429 stash_child = gv_stashpv ("EV::Child" , 1); 473 stash_child = gv_stashpv ("EV::Child" , 1);
430 stash_embed = gv_stashpv ("EV::Embed" , 1); 474 stash_embed = gv_stashpv ("EV::Embed" , 1);
431 stash_stat = gv_stashpv ("EV::Stat" , 1); 475 stash_stat = gv_stashpv ("EV::Stat" , 1);
432 stash_fork = gv_stashpv ("EV::Fork" , 1); 476 stash_fork = gv_stashpv ("EV::Fork" , 1);
477 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
433 stash_async = gv_stashpv ("EV::Async" , 1); 478 stash_async = gv_stashpv ("EV::Async" , 1);
434 479
435 { 480 {
436 SV *sv = perl_get_sv ("EV::API", TRUE); 481 SV *sv = perl_get_sv ("EV::API", TRUE);
437 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 482 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
494 evapi.embed_start = ev_embed_start; 539 evapi.embed_start = ev_embed_start;
495 evapi.embed_stop = ev_embed_stop; 540 evapi.embed_stop = ev_embed_stop;
496 evapi.embed_sweep = ev_embed_sweep; 541 evapi.embed_sweep = ev_embed_sweep;
497 evapi.fork_start = ev_fork_start; 542 evapi.fork_start = ev_fork_start;
498 evapi.fork_stop = ev_fork_stop; 543 evapi.fork_stop = ev_fork_stop;
544 evapi.cleanup_start = ev_cleanup_start;
545 evapi.cleanup_stop = ev_cleanup_stop;
499 evapi.async_start = ev_async_start; 546 evapi.async_start = ev_async_start;
500 evapi.async_stop = ev_async_stop; 547 evapi.async_stop = ev_async_stop;
501 evapi.async_send = ev_async_send; 548 evapi.async_send = ev_async_send;
502 evapi.clear_pending = ev_clear_pending; 549 evapi.clear_pending = ev_clear_pending;
503 evapi.invoke = ev_invoke; 550 evapi.invoke = ev_invoke;
504 551
505 sv_setiv (sv, (IV)&evapi); 552 sv_setiv (sv, (IV)&evapi);
506 SvREADONLY_on (sv); 553 SvREADONLY_on (sv);
507 } 554 }
508#if !defined(_WIN32) && !defined(_MINIX) 555#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
556/* unfortunately, musl neither implements the linux standard base,
557/* nor makes itself detectable via macros. yeah, right... */
558#if __linux && (__GLIBC__ || __UCLIBC__)
559 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
560 __register_atfork (0, 0, default_fork, 0);
561#else
509 pthread_atfork (0, 0, ev_default_fork); 562 pthread_atfork (0, 0, default_fork);
563#endif
510#endif 564#endif
511} 565}
512 566
513SV *ev_default_loop (unsigned int flags = 0) 567SV *ev_default_loop (unsigned int flags = 0)
514 CODE: 568 CODE:
528 OUTPUT: 582 OUTPUT:
529 RETVAL 583 RETVAL
530 584
531void ev_default_destroy () 585void ev_default_destroy ()
532 CODE: 586 CODE:
533 ev_default_destroy (); 587 ev_loop_destroy (EV_DEFAULT_UC);
534 SvREFCNT_dec (default_loop_sv); 588 SvREFCNT_dec (default_loop_sv);
535 default_loop_sv = 0; 589 default_loop_sv = 0;
536 590
537unsigned int ev_supported_backends () 591unsigned int ev_supported_backends ()
538 592
542 596
543void ev_sleep (NV interval) 597void ev_sleep (NV interval)
544 598
545NV ev_time () 599NV ev_time ()
546 600
601void ev_feed_signal (SV *signal)
602 CODE:
603{
604 Signal signum = s_signum (signal);
605 CHECK_SIG (signal, signum);
606
607 ev_feed_signal (signum);
608}
609
547NV ev_now () 610NV ev_now ()
548 C_ARGS: evapi.default_loop 611 C_ARGS: evapi.default_loop
549 612
550void ev_now_update () 613void ev_now_update ()
551 C_ARGS: evapi.default_loop 614 C_ARGS: evapi.default_loop
578 C_ARGS: evapi.default_loop, interval 641 C_ARGS: evapi.default_loop, interval
579 642
580void ev_set_timeout_collect_interval (NV interval) 643void ev_set_timeout_collect_interval (NV interval)
581 C_ARGS: evapi.default_loop, interval 644 C_ARGS: evapi.default_loop, interval
582 645
583void ev_run (int flags = 0) 646int ev_run (int flags = 0)
584 ALIAS: 647 ALIAS:
585 loop = 1 648 loop = 1
586 C_ARGS: evapi.default_loop, flags 649 C_ARGS: evapi.default_loop, flags
587 650
588void ev_break (int how = EVBREAK_ONE) 651void ev_break (int how = EVBREAK_ONE)
594 C_ARGS: evapi.default_loop, fd, revents 657 C_ARGS: evapi.default_loop, fd, revents
595 658
596void ev_feed_signal_event (SV *signal) 659void ev_feed_signal_event (SV *signal)
597 CODE: 660 CODE:
598{ 661{
599 Signal signum = s_signum (signal); 662 Signal signum = s_signum (signal);
600 CHECK_SIG (signal, signum); 663 CHECK_SIG (signal, signum);
601 664
602 ev_feed_signal_event (evapi.default_loop, signum); 665 ev_feed_signal_event (evapi.default_loop, signum);
603} 666}
604 667
648 periodic_ns = 1 711 periodic_ns = 1
649 INIT: 712 INIT:
650 CHECK_REPEAT (interval); 713 CHECK_REPEAT (interval);
651 CODE: 714 CODE:
652{ 715{
653 ev_periodic *w; 716 ev_periodic *w;
654 w = e_new (sizeof (ev_periodic), cb, default_loop_sv); 717 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
655 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 718 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
656 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0); 719 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
657 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 720 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
658 if (!ix) START (periodic, w); 721 if (!ix) START (periodic, w);
663ev_signal *signal (SV *signal, SV *cb) 726ev_signal *signal (SV *signal, SV *cb)
664 ALIAS: 727 ALIAS:
665 signal_ns = 1 728 signal_ns = 1
666 CODE: 729 CODE:
667{ 730{
668 Signal signum = s_signum (signal); 731 Signal signum = s_signum (signal);
669 CHECK_SIG (signal, signum); 732 CHECK_SIG (signal, signum);
670 733
671 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv); 734 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
672 ev_signal_set (RETVAL, signum); 735 ev_signal_set (RETVAL, signum);
673 if (!ix) START_SIGNAL (RETVAL); 736 if (!ix) START_SIGNAL (RETVAL);
713 ev_fork_set (RETVAL); 776 ev_fork_set (RETVAL);
714 if (!ix) START (fork, RETVAL); 777 if (!ix) START (fork, RETVAL);
715 OUTPUT: 778 OUTPUT:
716 RETVAL 779 RETVAL
717 780
781#if CLEANUP_ENABLED
782
783ev_cleanup *cleanup (SV *cb)
784 ALIAS:
785 cleanup_ns = 1
786 CODE:
787 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
788 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
789 ev_cleanup_set (RETVAL);
790 if (!ix) START (cleanup, RETVAL);
791 OUTPUT:
792 RETVAL
793
794#endif
718 795
719ev_child *child (int pid, int trace, SV *cb) 796ev_child *child (int pid, int trace, SV *cb)
720 ALIAS: 797 ALIAS:
721 child_ns = 1 798 child_ns = 1
722 CODE: 799 CODE:
740 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval); 817 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
741 if (!ix) START (stat, RETVAL); 818 if (!ix) START (stat, RETVAL);
742 OUTPUT: 819 OUTPUT:
743 RETVAL 820 RETVAL
744 821
822#ifndef EV_NO_LOOPS
823
745ev_embed *embed (struct ev_loop *loop, SV *cb = 0) 824ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
746 ALIAS: 825 ALIAS:
747 embed_ns = 1 826 embed_ns = 1
748 CODE: 827 CODE:
749{ 828{
755 ev_embed_set (RETVAL, loop); 834 ev_embed_set (RETVAL, loop);
756 if (!ix) START (embed, RETVAL); 835 if (!ix) START (embed, RETVAL);
757} 836}
758 OUTPUT: 837 OUTPUT:
759 RETVAL 838 RETVAL
839
840#endif
760 841
761ev_async *async (SV *cb) 842ev_async *async (SV *cb)
762 ALIAS: 843 ALIAS:
763 async_ns = 1 844 async_ns = 1
764 CODE: 845 CODE:
793 C_ARGS: e_loop (w), w 874 C_ARGS: e_loop (w), w
794 875
795void ev_feed_event (ev_watcher *w, int revents = EV_NONE) 876void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
796 C_ARGS: e_loop (w), w, revents 877 C_ARGS: e_loop (w), w, revents
797 878
798int keepalive (ev_watcher *w, int new_value = 0) 879int keepalive (ev_watcher *w, SV *new_value = NO_INIT)
799 CODE: 880 CODE:
800{ 881{
801 RETVAL = w->e_flags & WFLAG_KEEPALIVE; 882 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
802 new_value = new_value ? WFLAG_KEEPALIVE : 0;
803 883
804 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE)) 884 if (items > 1)
805 { 885 {
886 int value = SvTRUE (new_value) ? WFLAG_KEEPALIVE : 0;
887
888 if ((value ^ w->e_flags) & WFLAG_KEEPALIVE)
889 {
806 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value; 890 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | value;
807 REF (w); 891 REF (w);
808 UNREF (w); 892 UNREF (w);
893 }
809 } 894 }
810} 895}
811 OUTPUT: 896 OUTPUT:
812 RETVAL 897 RETVAL
813 898
814SV *cb (ev_watcher *w, SV *new_cb = 0) 899SV *cb (ev_watcher *w, SV *new_cb = NO_INIT)
815 CODE: 900 CODE:
816{ 901{
817 if (items > 1) 902 if (items > 1)
818 { 903 {
819 new_cb = s_get_cv_croak (new_cb); 904 new_cb = s_get_cv_croak (new_cb);
824 RETVAL = newRV_inc (w->cb_sv); 909 RETVAL = newRV_inc (w->cb_sv);
825} 910}
826 OUTPUT: 911 OUTPUT:
827 RETVAL 912 RETVAL
828 913
829SV *data (ev_watcher *w, SV *new_data = 0) 914SV *data (ev_watcher *w, SV *new_data = NO_INIT)
830 CODE: 915 CODE:
831{ 916{
832 RETVAL = w->data ? newSVsv (w->data) : &PL_sv_undef; 917 RETVAL = w->data ? newSVsv (w->data) : &PL_sv_undef;
833 918
834 if (items > 1) 919 if (items > 1)
844 CODE: 929 CODE:
845 RETVAL = newRV_inc (w->loop); 930 RETVAL = newRV_inc (w->loop);
846 OUTPUT: 931 OUTPUT:
847 RETVAL 932 RETVAL
848 933
849int priority (ev_watcher *w, int new_priority = 0) 934int priority (ev_watcher *w, SV *new_priority = NO_INIT)
850 CODE: 935 CODE:
851{ 936{
852 RETVAL = w->priority; 937 RETVAL = w->priority;
853 938
854 if (items > 1) 939 if (items > 1)
862 XPUSHs (ST (0)); 947 XPUSHs (ST (0));
863 PUTBACK; 948 PUTBACK;
864 call_method ("stop", G_DISCARD | G_VOID); 949 call_method ("stop", G_DISCARD | G_VOID);
865 } 950 }
866 951
867 ev_set_priority (w, new_priority); 952 ev_set_priority (w, SvIV (new_priority));
868 953
869 if (active) 954 if (active)
870 { 955 {
871 PUSHMARK (SP); 956 PUSHMARK (SP);
872 XPUSHs (ST (0)); 957 XPUSHs (ST (0));
901 986
902 sv_setsv (e_fh (w), fh); 987 sv_setsv (e_fh (w), fh);
903 RESET (io, w, (w, fd, events)); 988 RESET (io, w, (w, fd, events));
904} 989}
905 990
906SV *fh (ev_io *w, SV *new_fh = 0) 991SV *fh (ev_io *w, SV *new_fh = NO_INIT)
907 CODE: 992 CODE:
908{ 993{
909 if (items > 1) 994 if (items > 1)
910 { 995 {
911 int fd = s_fileno (new_fh, w->events & EV_WRITE); 996 int fd = s_fileno (new_fh, w->events & EV_WRITE);
920 RETVAL = newSVsv (e_fh (w)); 1005 RETVAL = newSVsv (e_fh (w));
921} 1006}
922 OUTPUT: 1007 OUTPUT:
923 RETVAL 1008 RETVAL
924 1009
925int events (ev_io *w, int new_events = EV_UNDEF) 1010int events (ev_io *w, int new_events = NO_INIT)
926 CODE: 1011 CODE:
927{ 1012{
928 RETVAL = w->events; 1013 RETVAL = w->events;
929 1014
930 if (items > 1) 1015 if (items > 1 && (new_events ^ w->events) & (EV_READ | EV_WRITE))
931 RESET (io, w, (w, w->fd, new_events)); 1016 {
1017 PAUSE (io);
1018 ev_io_modify (w, new_events);
1019 RESUME (io);
1020 }
932} 1021}
933 OUTPUT: 1022 OUTPUT:
934 RETVAL 1023 RETVAL
935 1024
936MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1025MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
955 CHECK_SIG (signal, signum); 1044 CHECK_SIG (signal, signum);
956 1045
957 RESET_SIGNAL (w, (w, signum)); 1046 RESET_SIGNAL (w, (w, signum));
958} 1047}
959 1048
960int signal (ev_signal *w, SV *new_signal = 0) 1049int signal (ev_signal *w, SV *new_signal = NO_INIT)
961 CODE: 1050 CODE:
962{ 1051{
963 RETVAL = w->signum; 1052 RETVAL = w->signum;
964 1053
965 if (items > 1) 1054 if (items > 1)
966 { 1055 {
967 Signal signum = s_signum (new_signal); 1056 Signal signum = s_signum (new_signal);
968 CHECK_SIG (new_signal, signum); 1057 CHECK_SIG (new_signal, signum);
969
970 RESET_SIGNAL (w, (w, signum)); 1058 RESET_SIGNAL (w, (w, signum));
971 } 1059 }
972} 1060}
973 OUTPUT: 1061 OUTPUT:
974 RETVAL 1062 RETVAL
983 1071
984void ev_timer_stop (ev_timer *w) 1072void ev_timer_stop (ev_timer *w)
985 CODE: 1073 CODE:
986 STOP (timer, w); 1074 STOP (timer, w);
987 1075
988void ev_timer_again (ev_timer *w) 1076void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
989 INIT:
990 CHECK_REPEAT (w->repeat);
991 CODE: 1077 CODE:
1078{
1079 if (items > 1)
1080 {
1081 CHECK_REPEAT (repeat);
1082 w->repeat = repeat;
1083 }
1084
992 ev_timer_again (e_loop (w), w); 1085 ev_timer_again (e_loop (w), w);
993 UNREF (w); 1086 UNREF (w);
1087}
994 1088
995NV ev_timer_remaining (ev_timer *w) 1089NV ev_timer_remaining (ev_timer *w)
996 C_ARGS: e_loop (w), w 1090 C_ARGS: e_loop (w), w
997 1091
998void DESTROY (ev_timer *w) 1092void DESTROY (ev_timer *w)
1004 INIT: 1098 INIT:
1005 CHECK_REPEAT (repeat); 1099 CHECK_REPEAT (repeat);
1006 CODE: 1100 CODE:
1007 RESET (timer, w, (w, after, repeat)); 1101 RESET (timer, w, (w, after, repeat));
1008 1102
1103NV repeat (ev_timer *w, SV *new_repeat = NO_INIT)
1104 CODE:
1105 RETVAL = w->repeat;
1106 if (items > 1)
1107 {
1108 NV repeat = SvNV (new_repeat);
1109 CHECK_REPEAT (repeat);
1110 w->repeat = repeat;
1111 }
1112 OUTPUT:
1113 RETVAL
1114
1009MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_ 1115MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_
1010 1116
1011void ev_periodic_start (ev_periodic *w) 1117void ev_periodic_start (ev_periodic *w)
1012 INIT: 1118 INIT:
1013 CHECK_REPEAT (w->interval); 1119 CHECK_REPEAT (w->interval);
1043 CODE: 1149 CODE:
1044 RETVAL = ev_periodic_at (w); 1150 RETVAL = ev_periodic_at (w);
1045 OUTPUT: 1151 OUTPUT:
1046 RETVAL 1152 RETVAL
1047 1153
1154NV offset (ev_periodic *w, SV *new_offset = NO_INIT)
1155 CODE:
1156 RETVAL = w->offset;
1157 if (items > 1)
1158 w->offset = SvNV (new_offset);
1159 OUTPUT:
1160 RETVAL
1161
1162NV interval (ev_periodic *w, SV *new_interval = NO_INIT)
1163 CODE:
1164 RETVAL = w->interval;
1165 if (items > 1)
1166 {
1167 NV interval = SvNV (new_interval);
1168 CHECK_REPEAT (interval);
1169 w->interval = interval;
1170 }
1171 OUTPUT:
1172 RETVAL
1173
1174SV *reschedule_cb (ev_periodic *w, SV *new_reschedule_cb = NO_INIT)
1175 CODE:
1176 RETVAL = e_fh (w) ? e_fh (w) : &PL_sv_undef;
1177 if (items > 1)
1178 {
1179 sv_2mortal (RETVAL);
1180 e_fh (w) = SvTRUE (new_reschedule_cb) ? newSVsv (new_reschedule_cb) : 0;
1181 }
1182 OUTPUT:
1183 RETVAL
1184
1048MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1185MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
1049 1186
1050void ev_idle_start (ev_idle *w) 1187void ev_idle_start (ev_idle *w)
1051 CODE: 1188 CODE:
1052 START (idle, w); 1189 START (idle, w);
1103void DESTROY (ev_fork *w) 1240void DESTROY (ev_fork *w)
1104 CODE: 1241 CODE:
1105 STOP (fork, w); 1242 STOP (fork, w);
1106 e_destroy (w); 1243 e_destroy (w);
1107 1244
1245#if CLEANUP_ENABLED
1246
1247MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1248
1249void ev_cleanup_start (ev_cleanup *w)
1250 CODE:
1251 START (cleanup, w);
1252
1253void ev_cleanup_stop (ev_cleanup *w)
1254 CODE:
1255 STOP (cleanup, w);
1256
1257void DESTROY (ev_cleanup *w)
1258 CODE:
1259 STOP (cleanup, w);
1260 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1261 e_destroy (w);
1262
1263int keepalive (ev_watcher *w, SV *new_value = 0)
1264 CODE:
1265 RETVAL = 1;
1266 OUTPUT:
1267 RETVAL
1268
1269#endif
1270
1108MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1271MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1109 1272
1110#if EV_CHILD_ENABLE 1273#if EV_CHILD_ENABLE
1274
1275void ev_child_reinit ()
1276 CODE:
1277 ev_signal_stop (evapi.default_loop, &childev);
1278 ev_signal_start (evapi.default_loop, &childev);
1111 1279
1112void ev_child_start (ev_child *w) 1280void ev_child_start (ev_child *w)
1113 CODE: 1281 CODE:
1114 START (child, w); 1282 START (child, w);
1115 1283
1159{ 1327{
1160 sv_setsv (e_fh (w), path); 1328 sv_setsv (e_fh (w), path);
1161 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval)); 1329 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
1162} 1330}
1163 1331
1164SV *path (ev_stat *w, SV *new_path = 0) 1332SV *path (ev_stat *w, SV *new_path = NO_INIT)
1165 CODE: 1333 CODE:
1166{ 1334{
1167 RETVAL = SvREFCNT_inc (e_fh (w)); 1335 RETVAL = e_fh (w) ? newSVsv (e_fh (w)) : &PL_sv_undef;
1168 1336
1169 if (items > 1) 1337 if (items > 1)
1170 { 1338 {
1171 SvREFCNT_dec (e_fh (w)); 1339 sv_2mortal (e_fh (w));
1172 e_fh (w) = newSVsv (new_path); 1340 e_fh (w) = newSVsv (new_path);
1173 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval)); 1341 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
1174 } 1342 }
1175} 1343}
1176 OUTPUT: 1344 OUTPUT:
1177 RETVAL 1345 RETVAL
1178 1346
1179NV interval (ev_stat *w, NV new_interval = 0.) 1347NV interval (ev_stat *w, SV *new_interval = NO_INIT)
1180 CODE: 1348 CODE:
1181{
1182 RETVAL = w->interval; 1349 RETVAL = w->interval;
1183
1184 if (items > 1) 1350 if (items > 1)
1185 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval)); 1351 {
1186} 1352 PAUSE (stat);
1353 w->interval = SvNV (new_interval);
1354 RESUME (stat);
1355 }
1187 OUTPUT: 1356 OUTPUT:
1188 RETVAL 1357 RETVAL
1189 1358
1190void prev (ev_stat *w) 1359void prev (ev_stat *w)
1191 ALIAS: 1360 ALIAS:
1286 CODE: 1455 CODE:
1287 RETVAL = boolSV (ev_async_pending (w)); 1456 RETVAL = boolSV (ev_async_pending (w));
1288 OUTPUT: 1457 OUTPUT:
1289 RETVAL 1458 RETVAL
1290 1459
1460#ifndef EV_NO_LOOPS
1461
1291MODULE = EV PACKAGE = EV::Loop PREFIX = ev_ 1462MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1292 1463
1293SV *new (SV *klass, unsigned int flags = 0) 1464SV *new (SV *klass, unsigned int flags = 0)
1294 CODE: 1465 CODE:
1295{ 1466{
1303 OUTPUT: 1474 OUTPUT:
1304 RETVAL 1475 RETVAL
1305 1476
1306void DESTROY (struct ev_loop *loop) 1477void DESTROY (struct ev_loop *loop)
1307 CODE: 1478 CODE:
1308 /* 1. the default loop shouldn't be freed by destroying it'S pelr loop object */ 1479 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1309 /* 2. not doing so helps avoid many global destruction bugs in perl, too */ 1480 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1310 if (loop != evapi.default_loop) 1481 if (loop != evapi.default_loop)
1311 ev_loop_destroy (loop); 1482 ev_loop_destroy (loop);
1312 1483
1313void ev_loop_fork (struct ev_loop *loop) 1484void ev_loop_fork (struct ev_loop *loop)
1336 1507
1337unsigned int ev_depth (struct ev_loop *loop) 1508unsigned int ev_depth (struct ev_loop *loop)
1338 ALIAS: 1509 ALIAS:
1339 loop_depth = 1 1510 loop_depth = 1
1340 1511
1341void ev_run (struct ev_loop *loop, int flags = 0) 1512int ev_run (struct ev_loop *loop, int flags = 0)
1342 ALIAS: 1513 ALIAS:
1343 loop = 1 1514 loop = 1
1344 1515
1345void ev_break (struct ev_loop *loop, int how = 1) 1516void ev_break (struct ev_loop *loop, int how = 1)
1346 ALIAS: 1517 ALIAS:
1355#if 0 1526#if 0
1356 1527
1357void ev_feed_signal_event (struct ev_loop *loop, SV *signal) 1528void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1358 CODE: 1529 CODE:
1359{ 1530{
1360 Signal signum = s_signum (signal); 1531 Signal signum = s_signum (signal);
1361 CHECK_SIG (signal, signum); 1532 CHECK_SIG (signal, signum);
1362 1533
1363 ev_feed_signal_event (loop, signum); 1534 ev_feed_signal_event (loop, signum);
1364} 1535}
1365 1536
1398 periodic_ns = 1 1569 periodic_ns = 1
1399 INIT: 1570 INIT:
1400 CHECK_REPEAT (interval); 1571 CHECK_REPEAT (interval);
1401 CODE: 1572 CODE:
1402{ 1573{
1403 ev_periodic *w; 1574 ev_periodic *w;
1404 w = e_new (sizeof (ev_periodic), cb, ST (0)); 1575 w = e_new (sizeof (ev_periodic), cb, ST (0));
1405 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1576 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1406 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0); 1577 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1407 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 1578 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1408 if (!ix) START (periodic, w); 1579 if (!ix) START (periodic, w);
1413ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb) 1584ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1414 ALIAS: 1585 ALIAS:
1415 signal_ns = 1 1586 signal_ns = 1
1416 CODE: 1587 CODE:
1417{ 1588{
1418 Signal signum = s_signum (signal); 1589 Signal signum = s_signum (signal);
1419 CHECK_SIG (signal, signum); 1590 CHECK_SIG (signal, signum);
1420 1591
1421 RETVAL = e_new (sizeof (ev_signal), cb, ST (0)); 1592 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1422 ev_signal_set (RETVAL, signum); 1593 ev_signal_set (RETVAL, signum);
1423 if (!ix) START_SIGNAL (RETVAL); 1594 if (!ix) START_SIGNAL (RETVAL);
1463 ev_fork_set (RETVAL); 1634 ev_fork_set (RETVAL);
1464 if (!ix) START (fork, RETVAL); 1635 if (!ix) START (fork, RETVAL);
1465 OUTPUT: 1636 OUTPUT:
1466 RETVAL 1637 RETVAL
1467 1638
1639#if CLEANUP_ENABLED
1640
1641ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1642 ALIAS:
1643 cleanup_ns = 1
1644 CODE:
1645 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1646 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1647 ev_cleanup_set (RETVAL);
1648 if (!ix) START (cleanup, RETVAL);
1649 OUTPUT:
1650 RETVAL
1651
1652#endif
1468 1653
1469ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb) 1654ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1470 ALIAS: 1655 ALIAS:
1471 child_ns = 1 1656 child_ns = 1
1472 CODE: 1657 CODE:
1525 SvOK (timeout) ? SvNV (timeout) : -1., 1710 SvOK (timeout) ? SvNV (timeout) : -1.,
1526 e_once_cb, 1711 e_once_cb,
1527 newSVsv (cb) 1712 newSVsv (cb)
1528 ); 1713 );
1529 1714
1715#endif
1716

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines