ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.84 by root, Sat Dec 1 15:32:53 2007 UTC vs.
Revision 1.159 by root, Mon Apr 2 20:12:15 2012 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/
6
7#define EV_PROTOTYPES 1
8#include "EV/EVAPI.h"
9
10/* fix perl api breakage */ 5/* fix perl api breakage */
11#undef signal 6#undef signal
12#undef sigaction 7#undef sigaction
8
9#include "schmorp.h"
10
11/* old API compatibility */
12static int
13sv_fileno (SV *fh)
14{
15 return s_fileno (fh, 0);
16}
17
18#define EV_STANDALONE 1
19#define EV_PROTOTYPES 1
20#define EV_USE_CLOCK_SYSCALL 0 /* as long as we need pthreads anyways... */
21#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
22#define EV_USE_FLOOR 1
23#define EV_API_STATIC
24#define EV_H <ev.h>
25#define EV_CONFIG_H error
26#include "EV/EVAPI.h"
13 27
14#define EV_SELECT_IS_WINSOCKET 0 28#define EV_SELECT_IS_WINSOCKET 0
15#ifdef _WIN32 29#ifdef _WIN32
16# define EV_SELECT_USE_FD_SET 0 30# define EV_SELECT_USE_FD_SET 0
17# define NFDBITS PERL_NFDBITS 31# define NFDBITS PERL_NFDBITS
18# define fd_mask Perl_fd_mask 32# define fd_mask Perl_fd_mask
19#endif 33#endif
20/* due to bugs in OS X we have to use libev/ explicitly here */ 34/* due to bugs in OS X we have to use libev/ explicitly here */
21#include "libev/ev.c" 35#include "libev/ev.c"
22#include "event.c"
23 36
24#ifndef _WIN32
25#define DNS_USE_GETTIMEOFDAY_FOR_ID 1
26#if !defined (WIN32) && !defined(__CYGWIN__) 37#if !defined _WIN32 && !defined _MINIX
27# define HAVE_STRUCT_IN6_ADDR 1
28#endif
29#undef HAVE_STRTOK_R
30#undef strtok_r
31#define strtok_r fake_strtok_r
32#include "evdns.h"
33#include "evdns.c"
34#endif
35
36#ifndef _WIN32
37# include <pthread.h> 38# include <pthread.h>
38#endif 39#endif
39 40
41#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
42#define e_flags(w) ((ev_watcher *)(w))->e_flags
43#define e_self(w) ((ev_watcher *)(w))->self
44#define e_fh(w) ((ev_watcher *)(w))->fh
45#define e_data(w) ((ev_watcher *)(w))->data
46
40#define WFLAG_KEEPALIVE 1 47#define WFLAG_KEEPALIVE 1
48#define WFLAG_UNREFED 2 /* has been unref'ed */
41 49
42#define UNREF(w) \ 50#define UNREF(w) \
43 if (!((w)->flags & WFLAG_KEEPALIVE) \ 51 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
44 && !ev_is_active (w)) \ 52 && ev_is_active (w)) \
45 ev_unref (); 53 { \
54 ev_unref (e_loop (w)); \
55 e_flags (w) |= WFLAG_UNREFED; \
56 }
46 57
47#define REF(w) \ 58#define REF(w) \
48 if (!((w)->flags & WFLAG_KEEPALIVE) \ 59 if (e_flags (w) & WFLAG_UNREFED) \
49 && ev_is_active (w)) \ 60 { \
50 ev_ref (); 61 e_flags (w) &= ~WFLAG_UNREFED; \
62 ev_ref (e_loop (w)); \
63 }
51 64
52#define START(type,w) \ 65#define START(type,w) \
53 do { \ 66 do { \
67 ev_ ## type ## _start (e_loop (w), w); \
54 UNREF (w); \ 68 UNREF (w); \
55 ev_ ## type ## _start (w); \
56 } while (0) 69 } while (0)
57 70
58#define STOP(type,w) \ 71#define STOP(type,w) \
59 do { \ 72 do { \
60 REF (w); \ 73 REF (w); \
61 ev_ ## type ## _stop (w); \ 74 ev_ ## type ## _stop (e_loop (w), w); \
62 } while (0) 75 } while (0)
63 76
64#define RESET(type,w,seta) \ 77#define RESET(type,w,seta) \
65 do { \ 78 do { \
66 int active = ev_is_active (w); \ 79 int active = ev_is_active (w); \
67 if (active) STOP (type, w); \ 80 if (active) STOP (type, w); \
68 ev_ ## type ## _set seta; \ 81 ev_ ## type ## _set seta; \
69 if (active) START (type, w); \ 82 if (active) START (type, w); \
70 } while (0) 83 } while (0)
71 84
72typedef int Signal; 85typedef int Signal;
73 86
87/* horrible... */
88#define CHECK_SIGNAL_CAN_START(w) \
89 do { \
90 /* dive into the internals of libev to avoid aborting in libev */ \
91 if (signals [(w)->signum - 1].loop \
92 && signals [(w)->signum - 1].loop != e_loop (w)) \
93 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
94 } while (0)
95
96#define START_SIGNAL(w) \
97 do { \
98 CHECK_SIGNAL_CAN_START (w); \
99 START (signal, w); \
100 } while (0) \
101
102#define RESET_SIGNAL(w,seta) \
103 do { \
104 int active = ev_is_active (w); \
105 if (active) STOP (signal, w); \
106 ev_ ## signal ## _set seta; \
107 if (active) START_SIGNAL (w); \
108 } while (0)
109
110static SV *default_loop_sv;
111
74static struct EVAPI evapi; 112static struct EVAPI evapi;
75 113
76static HV 114static HV
115 *stash_loop,
77 *stash_watcher, 116 *stash_watcher,
78 *stash_io, 117 *stash_io,
79 *stash_timer, 118 *stash_timer,
80 *stash_periodic, 119 *stash_periodic,
81 *stash_signal, 120 *stash_signal,
83 *stash_stat, 122 *stash_stat,
84 *stash_idle, 123 *stash_idle,
85 *stash_prepare, 124 *stash_prepare,
86 *stash_check, 125 *stash_check,
87 *stash_embed, 126 *stash_embed,
88 *stash_fork; 127 *stash_fork,
89 128 *stash_cleanup,
90#ifndef SIG_SIZE 129 *stash_async;
91/* kudos to Slaven Rezic for the idea */
92static char sig_size [] = { SIG_NUM };
93# define SIG_SIZE (sizeof (sig_size) + 1)
94#endif
95
96static Signal
97sv_signum (SV *sig)
98{
99 Signal signum;
100
101 SvGETMAGIC (sig);
102
103 for (signum = 1; signum < SIG_SIZE; ++signum)
104 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
105 return signum;
106
107 signum = SvIV (sig);
108
109 if (signum > 0 && signum < SIG_SIZE)
110 return signum;
111
112 return -1;
113}
114 130
115///////////////////////////////////////////////////////////////////////////// 131/////////////////////////////////////////////////////////////////////////////
116// Event 132// Event
117 133
118static void e_cb (ev_watcher *w, int revents); 134static void e_cb (EV_P_ ev_watcher *w, int revents);
119
120static int
121sv_fileno (SV *fh)
122{
123 SvGETMAGIC (fh);
124
125 if (SvROK (fh))
126 fh = SvRV (fh);
127
128 if (SvTYPE (fh) == SVt_PVGV)
129 return PerlIO_fileno (IoIFP (sv_2io (fh)));
130
131 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
132 return SvIV (fh);
133
134 return -1;
135}
136 135
137static void * 136static void *
138e_new (int size, SV *cb_sv) 137e_new (int size, SV *cb_sv, SV *loop)
139{ 138{
139 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
140 ev_watcher *w; 140 ev_watcher *w;
141 SV *self = NEWSV (0, size); 141 SV *self = NEWSV (0, size);
142 SvPOK_only (self); 142 SvPOK_only (self);
143 SvCUR_set (self, size); 143 SvCUR_set (self, size);
144 144
145 w = (ev_watcher *)SvPVX (self); 145 w = (ev_watcher *)SvPVX (self);
146 146
147 ev_init (w, e_cb); 147 ev_init (w, cv ? e_cb : 0);
148 148
149 w->loop = SvREFCNT_inc (SvRV (loop));
149 w->flags = WFLAG_KEEPALIVE; 150 w->e_flags = WFLAG_KEEPALIVE;
150 w->data = 0; 151 w->data = 0;
151 w->fh = 0; 152 w->fh = 0;
152 w->cb_sv = newSVsv (cb_sv); 153 w->cb_sv = SvREFCNT_inc (cv);
153 w->self = self; 154 w->self = self;
154 155
155 return (void *)w; 156 return (void *)w;
156} 157}
157 158
158static void 159static void
159e_destroy (void *w_) 160e_destroy (void *w_)
160{ 161{
161 ev_watcher *w = (ev_watcher *)w_; 162 ev_watcher *w = (ev_watcher *)w_;
162 163
164 SvREFCNT_dec (w->loop ); w->loop = 0;
163 SvREFCNT_dec (w->fh ); w->fh = 0; 165 SvREFCNT_dec (w->fh ); w->fh = 0;
164 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0; 166 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0;
165 SvREFCNT_dec (w->data ); w->data = 0; 167 SvREFCNT_dec (w->data ); w->data = 0;
166} 168}
167 169
180 } 182 }
181 183
182 return rv; 184 return rv;
183} 185}
184 186
185static SV *sv_events_cache; 187static SV *sv_self_cache, *sv_events_cache;
186 188
187static void 189static void
188e_cb (ev_watcher *w, int revents) 190e_cb (EV_P_ ev_watcher *w, int revents)
189{ 191{
190 dSP; 192 dSP;
191 I32 mark = SP - PL_stack_base; 193 I32 mark = SP - PL_stack_base;
192 SV *sv_self, *sv_events; 194 SV *sv_self, *sv_events;
193 195
196 /* libev might have stopped the watcher */
197 if (expect_false (w->e_flags & WFLAG_UNREFED)
198 && !ev_is_active (w))
199 REF (w);
200
201 if (expect_true (sv_self_cache))
202 {
203 sv_self = sv_self_cache; sv_self_cache = 0;
204 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
205 }
206 else
207 {
194 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 208 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
209 SvREADONLY_on (sv_self);
210 }
211
212 if (expect_true (sv_events_cache))
213 {
214 sv_events = sv_events_cache; sv_events_cache = 0;
215 SvIV_set (sv_events, revents);
216 SvIOK_only (sv_events);
217 }
218 else
219 {
220 sv_events = newSViv (revents);
221 SvREADONLY_on (sv_events);
222 }
223
224 PUSHMARK (SP);
225 EXTEND (SP, 2);
226 PUSHs (sv_self);
227 PUSHs (sv_events);
228
229 PUTBACK;
230 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
231
232 if (expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
233 SvREFCNT_dec (sv_self);
234 else
235 {
236 SvREFCNT_dec (SvRV (sv_self));
237 SvRV_set (sv_self, &PL_sv_undef);
238 sv_self_cache = sv_self;
239 }
240
241 if (expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
242 SvREFCNT_dec (sv_events);
243 else
244 sv_events_cache = sv_events;
245
246 if (expect_false (SvTRUE (ERRSV)))
247 {
248 SPAGAIN;
249 PUSHMARK (SP);
250 PUTBACK;
251 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
252 }
253
254 SP = PL_stack_base + mark;
255 PUTBACK;
256}
257
258static void
259e_once_cb (int revents, void *arg)
260{
261 dSP;
262 I32 mark = SP - PL_stack_base;
263 SV *sv_events;
195 264
196 if (sv_events_cache) 265 if (sv_events_cache)
197 { 266 {
198 sv_events = sv_events_cache; sv_events_cache = 0; 267 sv_events = sv_events_cache; sv_events_cache = 0;
199 SvIV_set (sv_events, revents); 268 SvIV_set (sv_events, revents);
200 } 269 }
201 else 270 else
202 sv_events = newSViv (revents); 271 sv_events = newSViv (revents);
203 272
204 PUSHMARK (SP); 273 PUSHMARK (SP);
205 EXTEND (SP, 2);
206 PUSHs (sv_self);
207 PUSHs (sv_events); 274 XPUSHs (sv_events);
208 275
209 PUTBACK; 276 PUTBACK;
210 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 277 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
211 278
212 SvREFCNT_dec (sv_self); 279 SvREFCNT_dec ((SV *)arg);
213 280
214 if (sv_events_cache) 281 if (sv_events_cache)
215 SvREFCNT_dec (sv_events); 282 SvREFCNT_dec (sv_events);
216 else 283 else
217 sv_events_cache = sv_events; 284 sv_events_cache = sv_events;
226 293
227 SP = PL_stack_base + mark; 294 SP = PL_stack_base + mark;
228 PUTBACK; 295 PUTBACK;
229} 296}
230 297
231static void
232e_once_cb (int revents, void *arg)
233{
234 dSP;
235 I32 mark = SP - PL_stack_base;
236 SV *sv_events;
237
238 if (sv_events_cache)
239 {
240 sv_events = sv_events_cache; sv_events_cache = 0;
241 SvIV_set (sv_events, revents);
242 }
243 else
244 sv_events = newSViv (revents);
245
246 PUSHMARK (SP);
247 XPUSHs (sv_events);
248
249 PUTBACK;
250 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
251
252 SvREFCNT_dec ((SV *)arg);
253
254 if (sv_events_cache)
255 SvREFCNT_dec (sv_events);
256 else
257 sv_events_cache = sv_events;
258
259 if (SvTRUE (ERRSV))
260 {
261 PUSHMARK (SP);
262 PUTBACK;
263 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
264 }
265
266 SP = PL_stack_base + mark;
267 PUTBACK;
268}
269
270static ev_tstamp 298static ev_tstamp
271e_periodic_cb (ev_periodic *w, ev_tstamp now) 299e_periodic_cb (ev_periodic *w, ev_tstamp now)
272{ 300{
273 ev_tstamp retval; 301 ev_tstamp retval;
274 int count; 302 int count;
277 ENTER; 305 ENTER;
278 SAVETMPS; 306 SAVETMPS;
279 307
280 PUSHMARK (SP); 308 PUSHMARK (SP);
281 EXTEND (SP, 2); 309 EXTEND (SP, 2);
282 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 310 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
283 PUSHs (newSVnv (now)); 311 PUSHs (newSVnv (now));
284 312
285 PUTBACK; 313 PUTBACK;
286 count = call_sv (w->fh, G_SCALAR | G_EVAL); 314 count = call_sv (w->fh, G_SCALAR | G_EVAL);
287 SPAGAIN; 315 SPAGAIN;
308 LEAVE; 336 LEAVE;
309 337
310 return retval; 338 return retval;
311} 339}
312 340
313/////////////////////////////////////////////////////////////////////////////
314// DNS
315
316#ifndef _WIN32
317static void
318dns_cb (int result, char type, int count, int ttl, void *addresses, void *arg)
319{
320 dSP;
321 SV *cb = (SV *)arg;
322
323 ENTER;
324 SAVETMPS;
325 PUSHMARK (SP);
326 EXTEND (SP, count + 3);
327 PUSHs (sv_2mortal (newSViv (result)));
328
329 if (result == DNS_ERR_NONE && ttl >= 0)
330 {
331 int i;
332
333 PUSHs (sv_2mortal (newSViv (type)));
334 PUSHs (sv_2mortal (newSViv (ttl)));
335
336 for (i = 0; i < count; ++i)
337 switch (type)
338 {
339 case DNS_IPv6_AAAA:
340 PUSHs (sv_2mortal (newSVpvn (i * 16 + (char *)addresses, 16)));
341 break;
342 case DNS_IPv4_A:
343 PUSHs (sv_2mortal (newSVpvn (i * 4 + (char *)addresses, 4)));
344 break;
345 case DNS_PTR:
346 PUSHs (sv_2mortal (newSVpv (*(char **)addresses, 0)));
347 break;
348 }
349 }
350
351 PUTBACK;
352 call_sv (sv_2mortal (cb), G_DISCARD | G_VOID | G_EVAL);
353
354 FREETMPS;
355
356 if (SvTRUE (ERRSV))
357 {
358 PUSHMARK (SP);
359 PUTBACK;
360 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
361 }
362
363 LEAVE;
364}
365#endif
366
367#define CHECK_REPEAT(repeat) if (repeat < 0.) \ 341#define CHECK_REPEAT(repeat) if (repeat < 0.) \
368 croak (# repeat " value must be >= 0"); 342 croak (# repeat " value must be >= 0");
369 343
370#define CHECK_FD(fh,fd) if ((fd) < 0) \ 344#define CHECK_FD(fh,fd) if ((fd) < 0) \
371 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 345 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
372 346
373#define CHECK_SIG(sv,num) if ((num) < 0) \ 347#define CHECK_SIG(sv,num) if ((num) < 0) \
374 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 348 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
349
350static void
351default_fork (void)
352{
353 ev_loop_fork (EV_DEFAULT_UC);
354}
375 355
376///////////////////////////////////////////////////////////////////////////// 356/////////////////////////////////////////////////////////////////////////////
377// XS interface functions 357// XS interface functions
378 358
379MODULE = EV PACKAGE = EV PREFIX = ev_ 359MODULE = EV PACKAGE = EV PREFIX = ev_
392 const_iv (EV_, MINPRI) 372 const_iv (EV_, MINPRI)
393 const_iv (EV_, MAXPRI) 373 const_iv (EV_, MAXPRI)
394 374
395 const_iv (EV_, UNDEF) 375 const_iv (EV_, UNDEF)
396 const_iv (EV_, NONE) 376 const_iv (EV_, NONE)
397 const_iv (EV_, TIMEOUT)
398 const_iv (EV_, READ) 377 const_iv (EV_, READ)
399 const_iv (EV_, WRITE) 378 const_iv (EV_, WRITE)
379 const_iv (EV_, IO)
380 const_iv (EV_, TIMER)
381 const_iv (EV_, PERIODIC)
400 const_iv (EV_, SIGNAL) 382 const_iv (EV_, SIGNAL)
383 const_iv (EV_, CHILD)
384 const_iv (EV_, STAT)
401 const_iv (EV_, IDLE) 385 const_iv (EV_, IDLE)
386 const_iv (EV_, PREPARE)
402 const_iv (EV_, CHECK) 387 const_iv (EV_, CHECK)
388 const_iv (EV_, EMBED)
389 const_iv (EV_, FORK)
390 const_iv (EV_, CLEANUP)
391 const_iv (EV_, ASYNC)
392 const_iv (EV_, CUSTOM)
403 const_iv (EV_, ERROR) 393 const_iv (EV_, ERROR)
404 394
405 const_iv (EV, LOOP_ONESHOT) 395 const_iv (EV, RUN_NOWAIT)
406 const_iv (EV, LOOP_NONBLOCK)
407 const_iv (EV, UNLOOP_ONE) 396 const_iv (EV, RUN_ONCE)
397
398 const_iv (EV, BREAK_CANCEL)
399 const_iv (EV, BREAK_ONE)
408 const_iv (EV, UNLOOP_ALL) 400 const_iv (EV, BREAK_ALL)
409
410 const_iv (EV, BACKEND_SELECT) 401 const_iv (EV, BACKEND_SELECT)
411 const_iv (EV, BACKEND_POLL) 402 const_iv (EV, BACKEND_POLL)
412 const_iv (EV, BACKEND_EPOLL) 403 const_iv (EV, BACKEND_EPOLL)
413 const_iv (EV, BACKEND_KQUEUE) 404 const_iv (EV, BACKEND_KQUEUE)
414 const_iv (EV, BACKEND_DEVPOLL) 405 const_iv (EV, BACKEND_DEVPOLL)
415 const_iv (EV, BACKEND_PORT) 406 const_iv (EV, BACKEND_PORT)
407 const_iv (EV, BACKEND_ALL)
408 const_iv (EV, BACKEND_MASK)
416 const_iv (EV, FLAG_AUTO) 409 const_iv (EV, FLAG_AUTO)
410 const_iv (EV, FLAG_FORKCHECK)
411 const_iv (EV, FLAG_SIGNALFD)
412 const_iv (EV, FLAG_NOSIGMASK)
417 const_iv (EV, FLAG_NOENV) 413 const_iv (EV, FLAG_NOENV)
418 const_iv (EV, FLAG_FORKCHECK) 414 const_iv (EV, FLAG_NOINOTIFY)
415
416 const_iv (EV_, VERSION_MAJOR)
417 const_iv (EV_, VERSION_MINOR)
418#if EV_COMPAT3
419 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
420 const_iv (EV_, TIMEOUT)
421 const_iv (EV, LOOP_NONBLOCK)
422 const_iv (EV, LOOP_ONESHOT)
423 const_iv (EV, UNLOOP_CANCEL)
424 const_iv (EV, UNLOOP_ONE)
425 const_iv (EV, UNLOOP_ALL)
426#endif
419 }; 427 };
420 428
421 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 429 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
422 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 430 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
423 431
432 stash_loop = gv_stashpv ("EV::Loop" , 1);
424 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 433 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
425 stash_io = gv_stashpv ("EV::IO" , 1); 434 stash_io = gv_stashpv ("EV::IO" , 1);
426 stash_timer = gv_stashpv ("EV::Timer" , 1); 435 stash_timer = gv_stashpv ("EV::Timer" , 1);
427 stash_periodic = gv_stashpv ("EV::Periodic", 1); 436 stash_periodic = gv_stashpv ("EV::Periodic", 1);
428 stash_signal = gv_stashpv ("EV::Signal" , 1); 437 stash_signal = gv_stashpv ("EV::Signal" , 1);
430 stash_prepare = gv_stashpv ("EV::Prepare" , 1); 439 stash_prepare = gv_stashpv ("EV::Prepare" , 1);
431 stash_check = gv_stashpv ("EV::Check" , 1); 440 stash_check = gv_stashpv ("EV::Check" , 1);
432 stash_child = gv_stashpv ("EV::Child" , 1); 441 stash_child = gv_stashpv ("EV::Child" , 1);
433 stash_embed = gv_stashpv ("EV::Embed" , 1); 442 stash_embed = gv_stashpv ("EV::Embed" , 1);
434 stash_stat = gv_stashpv ("EV::Stat" , 1); 443 stash_stat = gv_stashpv ("EV::Stat" , 1);
444 stash_fork = gv_stashpv ("EV::Fork" , 1);
445 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
446 stash_async = gv_stashpv ("EV::Async" , 1);
435 447
436 { 448 {
437 SV *sv = perl_get_sv ("EV::API", TRUE); 449 SV *sv = perl_get_sv ("EV::API", TRUE);
438 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 450 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
439 451
440 /* the poor man's shared library emulator */ 452 /* the poor man's shared library emulator */
441 evapi.ver = EV_API_VERSION; 453 evapi.ver = EV_API_VERSION;
442 evapi.rev = EV_API_REVISION; 454 evapi.rev = EV_API_REVISION;
443 evapi.sv_fileno = sv_fileno; 455 evapi.sv_fileno = sv_fileno;
444 evapi.sv_signum = sv_signum; 456 evapi.sv_signum = s_signum;
457 evapi.supported_backends = ev_supported_backends ();
458 evapi.recommended_backends = ev_recommended_backends ();
459 evapi.embeddable_backends = ev_embeddable_backends ();
460 evapi.time_ = ev_time;
461 evapi.sleep_ = ev_sleep;
462 evapi.loop_new = ev_loop_new;
463 evapi.loop_destroy = ev_loop_destroy;
464 evapi.loop_fork = ev_loop_fork;
465 evapi.iteration = ev_iteration;
466 evapi.depth = ev_depth;
467 evapi.set_userdata = ev_set_userdata;
468 evapi.userdata = ev_userdata;
445 evapi.now = ev_now; 469 evapi.now = ev_now;
470 evapi.now_update = ev_now_update;
471 evapi.suspend = ev_suspend;
472 evapi.resume = ev_resume;
446 evapi.backend = ev_backend; 473 evapi.backend = ev_backend;
447 evapi.unloop = ev_unloop; 474 evapi.break_ = ev_break;
475 evapi.invoke_pending = ev_invoke_pending;
476 evapi.pending_count = ev_pending_count;
477 evapi.verify = ev_verify;
478 evapi.set_loop_release_cb = ev_set_loop_release_cb;
479 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
448 evapi.ref = ev_ref; 480 evapi.ref = ev_ref;
449 evapi.unref = ev_unref; 481 evapi.unref = ev_unref;
450 evapi.time = ev_time; 482 evapi.run = ev_run;
451 evapi.loop = ev_loop;
452 evapi.once = ev_once; 483 evapi.once = ev_once;
453 evapi.io_start = ev_io_start; 484 evapi.io_start = ev_io_start;
454 evapi.io_stop = ev_io_stop; 485 evapi.io_stop = ev_io_stop;
455 evapi.timer_start = ev_timer_start; 486 evapi.timer_start = ev_timer_start;
456 evapi.timer_stop = ev_timer_stop; 487 evapi.timer_stop = ev_timer_stop;
457 evapi.timer_again = ev_timer_again; 488 evapi.timer_again = ev_timer_again;
489 evapi.timer_remaining = ev_timer_remaining;
458 evapi.periodic_start = ev_periodic_start; 490 evapi.periodic_start = ev_periodic_start;
459 evapi.periodic_stop = ev_periodic_stop; 491 evapi.periodic_stop = ev_periodic_stop;
460 evapi.signal_start = ev_signal_start; 492 evapi.signal_start = ev_signal_start;
461 evapi.signal_stop = ev_signal_stop; 493 evapi.signal_stop = ev_signal_stop;
462 evapi.idle_start = ev_idle_start; 494 evapi.idle_start = ev_idle_start;
463 evapi.idle_stop = ev_idle_stop; 495 evapi.idle_stop = ev_idle_stop;
464 evapi.prepare_start = ev_prepare_start; 496 evapi.prepare_start = ev_prepare_start;
465 evapi.prepare_stop = ev_prepare_stop; 497 evapi.prepare_stop = ev_prepare_stop;
466 evapi.check_start = ev_check_start; 498 evapi.check_start = ev_check_start;
467 evapi.check_stop = ev_check_stop; 499 evapi.check_stop = ev_check_stop;
500#if EV_CHILD_ENABLE
468 evapi.child_start = ev_child_start; 501 evapi.child_start = ev_child_start;
469 evapi.child_stop = ev_child_stop; 502 evapi.child_stop = ev_child_stop;
503#endif
470 evapi.stat_start = ev_stat_start; 504 evapi.stat_start = ev_stat_start;
471 evapi.stat_stop = ev_stat_stop; 505 evapi.stat_stop = ev_stat_stop;
472 evapi.stat_stat = ev_stat_stat; 506 evapi.stat_stat = ev_stat_stat;
507 evapi.embed_start = ev_embed_start;
508 evapi.embed_stop = ev_embed_stop;
509 evapi.embed_sweep = ev_embed_sweep;
510 evapi.fork_start = ev_fork_start;
511 evapi.fork_stop = ev_fork_stop;
512 evapi.cleanup_start = ev_cleanup_start;
513 evapi.cleanup_stop = ev_cleanup_stop;
514 evapi.async_start = ev_async_start;
515 evapi.async_stop = ev_async_stop;
516 evapi.async_send = ev_async_send;
517 evapi.clear_pending = ev_clear_pending;
518 evapi.invoke = ev_invoke;
473 519
474 sv_setiv (sv, (IV)&evapi); 520 sv_setiv (sv, (IV)&evapi);
475 SvREADONLY_on (sv); 521 SvREADONLY_on (sv);
476 } 522 }
477#ifndef _WIN32 523#if !defined _WIN32 && !defined _MINIX
478 pthread_atfork (0, 0, ev_default_fork); 524 pthread_atfork (0, 0, default_fork);
479#endif 525#endif
480} 526}
481 527
528SV *ev_default_loop (unsigned int flags = 0)
529 CODE:
530{
531 if (!default_loop_sv)
532 {
533 evapi.default_loop = ev_default_loop (flags);
534
535 if (!evapi.default_loop)
536 XSRETURN_UNDEF;
537
538 default_loop_sv = sv_bless (newRV_noinc (newSViv (PTR2IV (evapi.default_loop))), stash_loop);
539 }
540
541 RETVAL = newSVsv (default_loop_sv);
542}
543 OUTPUT:
544 RETVAL
545
546void ev_default_destroy ()
547 CODE:
548 ev_loop_destroy (EV_DEFAULT_UC);
549 SvREFCNT_dec (default_loop_sv);
550 default_loop_sv = 0;
551
552unsigned int ev_supported_backends ()
553
554unsigned int ev_recommended_backends ()
555
556unsigned int ev_embeddable_backends ()
557
558void ev_sleep (NV interval)
559
560NV ev_time ()
561
562void ev_feed_signal (SV *signal)
563 CODE:
564{
565 Signal signum = s_signum (signal);
566 CHECK_SIG (signal, signum);
567
568 ev_feed_signal (signum);
569}
570
482NV ev_now () 571NV ev_now ()
572 C_ARGS: evapi.default_loop
573
574void ev_now_update ()
575 C_ARGS: evapi.default_loop
576
577void ev_suspend ()
578 C_ARGS: evapi.default_loop
579
580void ev_resume ()
581 C_ARGS: evapi.default_loop
483 582
484unsigned int ev_backend () 583unsigned int ev_backend ()
584 C_ARGS: evapi.default_loop
485 585
486NV ev_time () 586void ev_verify ()
587 ALIAS:
588 loop_verify = 1
589 C_ARGS: evapi.default_loop
487 590
488unsigned int ev_default_loop (unsigned int flags = ev_supported_backends ()) 591unsigned int ev_iteration ()
592 ALIAS:
593 loop_count = 1
594 C_ARGS: evapi.default_loop
489 595
596unsigned int ev_depth ()
597 ALIAS:
598 loop_depth = 1
599 C_ARGS: evapi.default_loop
600
601void ev_set_io_collect_interval (NV interval)
602 C_ARGS: evapi.default_loop, interval
603
604void ev_set_timeout_collect_interval (NV interval)
605 C_ARGS: evapi.default_loop, interval
606
490void ev_loop (int flags = 0) 607void ev_run (int flags = 0)
608 ALIAS:
609 loop = 1
610 C_ARGS: evapi.default_loop, flags
491 611
492void ev_unloop (int how = 1) 612void ev_break (int how = EVBREAK_ONE)
613 ALIAS:
614 unloop = 1
615 C_ARGS: evapi.default_loop, how
616
617void ev_feed_fd_event (int fd, int revents = EV_NONE)
618 C_ARGS: evapi.default_loop, fd, revents
619
620void ev_feed_signal_event (SV *signal)
621 CODE:
622{
623 Signal signum = s_signum (signal);
624 CHECK_SIG (signal, signum);
625
626 ev_feed_signal_event (evapi.default_loop, signum);
627}
628
629unsigned int ev_pending_count ()
630 C_ARGS: evapi.default_loop
631
632void ev_invoke_pending ()
633 C_ARGS: evapi.default_loop
493 634
494ev_io *io (SV *fh, int events, SV *cb) 635ev_io *io (SV *fh, int events, SV *cb)
495 ALIAS: 636 ALIAS:
496 io_ns = 1 637 io_ns = 1
638 _ae_io = 2
497 CODE: 639 CODE:
498{ 640{
499 int fd = sv_fileno (fh); 641 int fd = s_fileno (fh, events & EV_WRITE);
500 CHECK_FD (fh, fd); 642 CHECK_FD (fh, fd);
501 643
644 if (ix == 2)
645 {
646 ix = 0;
647 events = events ? EV_WRITE : EV_READ;
648 }
649
502 RETVAL = e_new (sizeof (ev_io), cb); 650 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
503 RETVAL->fh = newSVsv (fh); 651 e_fh (RETVAL) = newSVsv (fh);
504 ev_io_set (RETVAL, fd, events); 652 ev_io_set (RETVAL, fd, events);
505 if (!ix) START (io, RETVAL); 653 if (!ix) START (io, RETVAL);
506} 654}
507 OUTPUT: 655 OUTPUT:
508 RETVAL 656 RETVAL
511 ALIAS: 659 ALIAS:
512 timer_ns = 1 660 timer_ns = 1
513 INIT: 661 INIT:
514 CHECK_REPEAT (repeat); 662 CHECK_REPEAT (repeat);
515 CODE: 663 CODE:
516 RETVAL = e_new (sizeof (ev_timer), cb); 664 RETVAL = e_new (sizeof (ev_timer), cb, default_loop_sv);
517 ev_timer_set (RETVAL, after, repeat); 665 ev_timer_set (RETVAL, after, repeat);
518 if (!ix) START (timer, RETVAL); 666 if (!ix) START (timer, RETVAL);
519 OUTPUT: 667 OUTPUT:
520 RETVAL 668 RETVAL
521 669
525 INIT: 673 INIT:
526 CHECK_REPEAT (interval); 674 CHECK_REPEAT (interval);
527 CODE: 675 CODE:
528{ 676{
529 ev_periodic *w; 677 ev_periodic *w;
530 w = e_new (sizeof (ev_periodic), cb); 678 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
531 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 679 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
532 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 680 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
533 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 681 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
534 if (!ix) START (periodic, w); 682 if (!ix) START (periodic, w);
535} 683}
536 OUTPUT: 684 OUTPUT:
537 RETVAL 685 RETVAL
539ev_signal *signal (SV *signal, SV *cb) 687ev_signal *signal (SV *signal, SV *cb)
540 ALIAS: 688 ALIAS:
541 signal_ns = 1 689 signal_ns = 1
542 CODE: 690 CODE:
543{ 691{
544 Signal signum = sv_signum (signal); 692 Signal signum = s_signum (signal);
545 CHECK_SIG (signal, signum); 693 CHECK_SIG (signal, signum);
546 694
547 RETVAL = e_new (sizeof (ev_signal), cb); 695 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
548 ev_signal_set (RETVAL, signum); 696 ev_signal_set (RETVAL, signum);
549 if (!ix) START (signal, RETVAL); 697 if (!ix) START_SIGNAL (RETVAL);
550} 698}
551 OUTPUT: 699 OUTPUT:
552 RETVAL 700 RETVAL
553 701
554ev_idle *idle (SV *cb) 702ev_idle *idle (SV *cb)
555 ALIAS: 703 ALIAS:
556 idle_ns = 1 704 idle_ns = 1
557 CODE: 705 CODE:
558 RETVAL = e_new (sizeof (ev_idle), cb); 706 RETVAL = e_new (sizeof (ev_idle), cb, default_loop_sv);
559 ev_idle_set (RETVAL); 707 ev_idle_set (RETVAL);
560 if (!ix) START (idle, RETVAL); 708 if (!ix) START (idle, RETVAL);
561 OUTPUT: 709 OUTPUT:
562 RETVAL 710 RETVAL
563 711
564ev_prepare *prepare (SV *cb) 712ev_prepare *prepare (SV *cb)
565 ALIAS: 713 ALIAS:
566 prepare_ns = 1 714 prepare_ns = 1
567 CODE: 715 CODE:
568 RETVAL = e_new (sizeof (ev_prepare), cb); 716 RETVAL = e_new (sizeof (ev_prepare), cb, default_loop_sv);
569 ev_prepare_set (RETVAL); 717 ev_prepare_set (RETVAL);
570 if (!ix) START (prepare, RETVAL); 718 if (!ix) START (prepare, RETVAL);
571 OUTPUT: 719 OUTPUT:
572 RETVAL 720 RETVAL
573 721
574ev_check *check (SV *cb) 722ev_check *check (SV *cb)
575 ALIAS: 723 ALIAS:
576 check_ns = 1 724 check_ns = 1
577 CODE: 725 CODE:
578 RETVAL = e_new (sizeof (ev_check), cb); 726 RETVAL = e_new (sizeof (ev_check), cb, default_loop_sv);
579 ev_check_set (RETVAL); 727 ev_check_set (RETVAL);
580 if (!ix) START (check, RETVAL); 728 if (!ix) START (check, RETVAL);
581 OUTPUT: 729 OUTPUT:
582 RETVAL 730 RETVAL
583 731
732ev_fork *fork (SV *cb)
733 ALIAS:
734 fork_ns = 1
735 CODE:
736 RETVAL = e_new (sizeof (ev_fork), cb, default_loop_sv);
737 ev_fork_set (RETVAL);
738 if (!ix) START (fork, RETVAL);
739 OUTPUT:
740 RETVAL
741
742ev_cleanup *cleanup (SV *cb)
743 ALIAS:
744 cleanup_ns = 1
745 CODE:
746 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
747 ev_cleanup_set (RETVAL);
748 if (!ix) START (cleanup, RETVAL);
749 OUTPUT:
750 RETVAL
751
584ev_child *child (int pid, SV *cb) 752ev_child *child (int pid, int trace, SV *cb)
585 ALIAS: 753 ALIAS:
586 child_ns = 1 754 child_ns = 1
587 CODE: 755 CODE:
756#if EV_CHILD_ENABLE
588 RETVAL = e_new (sizeof (ev_child), cb); 757 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
589 ev_child_set (RETVAL, pid); 758 ev_child_set (RETVAL, pid, trace);
590 if (!ix) START (child, RETVAL); 759 if (!ix) START (child, RETVAL);
760#else
761 croak ("EV::child watchers not supported on this platform");
762#endif
591 OUTPUT: 763 OUTPUT:
592 RETVAL 764 RETVAL
765
593 766
594ev_stat *stat (SV *path, NV interval, SV *cb) 767ev_stat *stat (SV *path, NV interval, SV *cb)
595 ALIAS: 768 ALIAS:
596 stat_ns = 1 769 stat_ns = 1
597 CODE: 770 CODE:
598 RETVAL = e_new (sizeof (ev_stat), cb); 771 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
599 RETVAL->fh = newSVsv (path); 772 e_fh (RETVAL) = newSVsv (path);
600 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 773 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
601 if (!ix) START (stat, RETVAL); 774 if (!ix) START (stat, RETVAL);
602 OUTPUT: 775 OUTPUT:
603 RETVAL 776 RETVAL
604 777
778#ifndef EV_NO_LOOPS
779
780ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
781 ALIAS:
782 embed_ns = 1
783 CODE:
784{
785 if (!(ev_backend (loop) & ev_embeddable_backends ()))
786 croak ("passed loop is not embeddable via EV::embed,");
787
788 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
789 e_fh (RETVAL) = newSVsv (ST (0));
790 ev_embed_set (RETVAL, loop);
791 if (!ix) START (embed, RETVAL);
792}
793 OUTPUT:
794 RETVAL
795
796#endif
797
798ev_async *async (SV *cb)
799 ALIAS:
800 async_ns = 1
801 CODE:
802 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
803 ev_async_set (RETVAL);
804 if (!ix) START (async, RETVAL);
805 OUTPUT:
806 RETVAL
807
605void once (SV *fh, int events, SV *timeout, SV *cb) 808void once (SV *fh, int events, SV *timeout, SV *cb)
606 CODE: 809 CODE:
607 ev_once ( 810 ev_once (
811 evapi.default_loop,
608 sv_fileno (fh), events, 812 s_fileno (fh, events & EV_WRITE), events,
609 SvOK (timeout) ? SvNV (timeout) : -1., 813 SvOK (timeout) ? SvNV (timeout) : -1.,
610 e_once_cb, 814 e_once_cb,
611 newSVsv (cb) 815 newSVsv (cb)
612 ); 816 );
613 817
617 821
618int ev_is_active (ev_watcher *w) 822int ev_is_active (ev_watcher *w)
619 823
620int ev_is_pending (ev_watcher *w) 824int ev_is_pending (ev_watcher *w)
621 825
826void ev_invoke (ev_watcher *w, int revents = EV_NONE)
827 C_ARGS: e_loop (w), w, revents
828
829int ev_clear_pending (ev_watcher *w)
830 C_ARGS: e_loop (w), w
831
832void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
833 C_ARGS: e_loop (w), w, revents
834
622int keepalive (ev_watcher *w, int new_value = 0) 835int keepalive (ev_watcher *w, int new_value = 0)
623 CODE: 836 CODE:
624{ 837{
625 RETVAL = w->flags & WFLAG_KEEPALIVE; 838 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
626 new_value = new_value ? WFLAG_KEEPALIVE : 0; 839 new_value = new_value ? WFLAG_KEEPALIVE : 0;
627 840
628 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 841 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
629 { 842 {
843 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
630 REF (w); 844 REF (w);
631 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value;
632 UNREF (w); 845 UNREF (w);
633 } 846 }
634} 847}
635 OUTPUT: 848 OUTPUT:
636 RETVAL 849 RETVAL
637 850
638SV *cb (ev_watcher *w, SV *new_cb = 0) 851SV *cb (ev_watcher *w, SV *new_cb = 0)
639 CODE: 852 CODE:
640{ 853{
641 RETVAL = newSVsv (w->cb_sv);
642
643 if (items > 1) 854 if (items > 1)
644 sv_setsv (w->cb_sv, new_cb); 855 {
856 new_cb = s_get_cv_croak (new_cb);
857 RETVAL = newRV_noinc (w->cb_sv);
858 w->cb_sv = SvREFCNT_inc (new_cb);
859 }
860 else
861 RETVAL = newRV_inc (w->cb_sv);
645} 862}
646 OUTPUT: 863 OUTPUT:
647 RETVAL 864 RETVAL
648 865
649SV *data (ev_watcher *w, SV *new_data = 0) 866SV *data (ev_watcher *w, SV *new_data = 0)
658 } 875 }
659} 876}
660 OUTPUT: 877 OUTPUT:
661 RETVAL 878 RETVAL
662 879
663void trigger (ev_watcher *w, int revents = EV_NONE) 880SV *loop (ev_watcher *w)
664 CODE: 881 CODE:
665 w->cb (w, revents); 882 RETVAL = newRV_inc (w->loop);
883 OUTPUT:
884 RETVAL
666 885
667int priority (ev_watcher *w, int new_priority = 0) 886int priority (ev_watcher *w, int new_priority = 0)
668 CODE: 887 CODE:
669{ 888{
670 RETVAL = w->priority; 889 RETVAL = w->priority;
671 890
672 if (items > 1) 891 if (items > 1)
673 { 892 {
674 int active = ev_is_active (w); 893 int active = ev_is_active (w);
675
676 if (new_priority < EV_MINPRI || new_priority > EV_MAXPRI)
677 croak ("watcher priority out of range, value must be between %d and %d, inclusive", EV_MINPRI, EV_MAXPRI);
678 894
679 if (active) 895 if (active)
680 { 896 {
681 /* grrr. */ 897 /* grrr. */
682 PUSHMARK (SP); 898 PUSHMARK (SP);
683 XPUSHs (ST (0)); 899 XPUSHs (ST (0));
900 PUTBACK;
684 call_method ("stop", G_DISCARD | G_VOID); 901 call_method ("stop", G_DISCARD | G_VOID);
685 } 902 }
686 903
687 ev_set_priority (w, new_priority); 904 ev_set_priority (w, new_priority);
688 905
689 if (active) 906 if (active)
690 { 907 {
691 PUSHMARK (SP); 908 PUSHMARK (SP);
692 XPUSHs (ST (0)); 909 XPUSHs (ST (0));
910 PUTBACK;
693 call_method ("start", G_DISCARD | G_VOID); 911 call_method ("start", G_DISCARD | G_VOID);
694 } 912 }
695 } 913 }
696} 914}
697 OUTPUT: 915 OUTPUT:
713 e_destroy (w); 931 e_destroy (w);
714 932
715void set (ev_io *w, SV *fh, int events) 933void set (ev_io *w, SV *fh, int events)
716 CODE: 934 CODE:
717{ 935{
718 int fd = sv_fileno (fh); 936 int fd = s_fileno (fh, events & EV_WRITE);
719 CHECK_FD (fh, fd); 937 CHECK_FD (fh, fd);
720 938
721 sv_setsv (w->fh, fh); 939 sv_setsv (e_fh (w), fh);
722 RESET (io, w, (w, fd, events)); 940 RESET (io, w, (w, fd, events));
723} 941}
724 942
725SV *fh (ev_io *w, SV *new_fh = 0) 943SV *fh (ev_io *w, SV *new_fh = 0)
726 CODE: 944 CODE:
727{ 945{
728 if (items > 1) 946 if (items > 1)
729 { 947 {
730 int fd = sv_fileno (new_fh); 948 int fd = s_fileno (new_fh, w->events & EV_WRITE);
731 CHECK_FD (new_fh, fd); 949 CHECK_FD (new_fh, fd);
732 950
733 RETVAL = w->fh; 951 RETVAL = e_fh (w);
734 w->fh = newSVsv (new_fh); 952 e_fh (w) = newSVsv (new_fh);
735 953
736 RESET (io, w, (w, fd, w->events)); 954 RESET (io, w, (w, fd, w->events));
737 } 955 }
738 else 956 else
739 RETVAL = newSVsv (w->fh); 957 RETVAL = newSVsv (e_fh (w));
740} 958}
741 OUTPUT: 959 OUTPUT:
742 RETVAL 960 RETVAL
743 961
744int events (ev_io *w, int new_events = EV_UNDEF) 962int events (ev_io *w, int new_events = EV_UNDEF)
754 972
755MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 973MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
756 974
757void ev_signal_start (ev_signal *w) 975void ev_signal_start (ev_signal *w)
758 CODE: 976 CODE:
759 START (signal, w); 977 START_SIGNAL (w);
760 978
761void ev_signal_stop (ev_signal *w) 979void ev_signal_stop (ev_signal *w)
762 CODE: 980 CODE:
763 STOP (signal, w); 981 STOP (signal, w);
764 982
768 e_destroy (w); 986 e_destroy (w);
769 987
770void set (ev_signal *w, SV *signal) 988void set (ev_signal *w, SV *signal)
771 CODE: 989 CODE:
772{ 990{
773 Signal signum = sv_signum (signal); 991 Signal signum = s_signum (signal);
774 CHECK_SIG (signal, signum); 992 CHECK_SIG (signal, signum);
775 993
776 RESET (signal, w, (w, signum)); 994 RESET_SIGNAL (w, (w, signum));
777} 995}
778 996
779int signal (ev_signal *w, SV *new_signal = 0) 997int signal (ev_signal *w, SV *new_signal = 0)
780 CODE: 998 CODE:
781{ 999{
782 RETVAL = w->signum; 1000 RETVAL = w->signum;
783 1001
784 if (items > 1) 1002 if (items > 1)
785 { 1003 {
786 Signal signum = sv_signum (new_signal); 1004 Signal signum = s_signum (new_signal);
787 CHECK_SIG (new_signal, signum); 1005 CHECK_SIG (new_signal, signum);
788 1006
789 RESET (signal, w, (w, signum)); 1007 RESET_SIGNAL (w, (w, signum));
790 } 1008 }
791} 1009}
792 OUTPUT: 1010 OUTPUT:
793 RETVAL 1011 RETVAL
794 1012
806 1024
807void ev_timer_again (ev_timer *w) 1025void ev_timer_again (ev_timer *w)
808 INIT: 1026 INIT:
809 CHECK_REPEAT (w->repeat); 1027 CHECK_REPEAT (w->repeat);
810 CODE: 1028 CODE:
811 REF (w);
812 ev_timer_again (w); 1029 ev_timer_again (e_loop (w), w);
813 UNREF (w); 1030 UNREF (w);
1031
1032NV ev_timer_remaining (ev_timer *w)
1033 C_ARGS: e_loop (w), w
814 1034
815void DESTROY (ev_timer *w) 1035void DESTROY (ev_timer *w)
816 CODE: 1036 CODE:
817 STOP (timer, w); 1037 STOP (timer, w);
818 e_destroy (w); 1038 e_destroy (w);
835 CODE: 1055 CODE:
836 STOP (periodic, w); 1056 STOP (periodic, w);
837 1057
838void ev_periodic_again (ev_periodic *w) 1058void ev_periodic_again (ev_periodic *w)
839 CODE: 1059 CODE:
840 REF (w);
841 ev_periodic_again (w); 1060 ev_periodic_again (e_loop (w), w);
842 UNREF (w); 1061 UNREF (w);
843 1062
844void DESTROY (ev_periodic *w) 1063void DESTROY (ev_periodic *w)
845 CODE: 1064 CODE:
846 STOP (periodic, w); 1065 STOP (periodic, w);
849void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1068void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
850 INIT: 1069 INIT:
851 CHECK_REPEAT (interval); 1070 CHECK_REPEAT (interval);
852 CODE: 1071 CODE:
853{ 1072{
854 SvREFCNT_dec (w->fh); 1073 SvREFCNT_dec (e_fh (w));
855 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1074 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
856 1075
857 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1076 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
858} 1077}
1078
1079NV at (ev_periodic *w)
1080 CODE:
1081 RETVAL = ev_periodic_at (w);
1082 OUTPUT:
1083 RETVAL
859 1084
860MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1085MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
861 1086
862void ev_idle_start (ev_idle *w) 1087void ev_idle_start (ev_idle *w)
863 CODE: 1088 CODE:
870void DESTROY (ev_idle *w) 1095void DESTROY (ev_idle *w)
871 CODE: 1096 CODE:
872 STOP (idle, w); 1097 STOP (idle, w);
873 e_destroy (w); 1098 e_destroy (w);
874 1099
875MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_check_ 1100MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_prepare_
876 1101
877void ev_prepare_start (ev_prepare *w) 1102void ev_prepare_start (ev_prepare *w)
878 CODE: 1103 CODE:
879 START (prepare, w); 1104 START (prepare, w);
880 1105
900void DESTROY (ev_check *w) 1125void DESTROY (ev_check *w)
901 CODE: 1126 CODE:
902 STOP (check, w); 1127 STOP (check, w);
903 e_destroy (w); 1128 e_destroy (w);
904 1129
1130MODULE = EV PACKAGE = EV::Fork PREFIX = ev_fork_
1131
1132void ev_fork_start (ev_fork *w)
1133 CODE:
1134 START (fork, w);
1135
1136void ev_fork_stop (ev_fork *w)
1137 CODE:
1138 STOP (fork, w);
1139
1140void DESTROY (ev_fork *w)
1141 CODE:
1142 STOP (fork, w);
1143 e_destroy (w);
1144
1145MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1146
1147void ev_cleanup_start (ev_cleanup *w)
1148 CODE:
1149 START (cleanup, w);
1150
1151void ev_cleanup_stop (ev_cleanup *w)
1152 CODE:
1153 STOP (cleanup, w);
1154
1155void DESTROY (ev_cleanup *w)
1156 CODE:
1157 STOP (cleanup, w);
1158 e_destroy (w);
1159
1160int keepalive (ev_watcher *w, int new_value = 0)
1161 CODE:
1162 RETVAL = 0;
1163 OUTPUT:
1164 RETVAL
1165
905MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1166MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1167
1168#if EV_CHILD_ENABLE
906 1169
907void ev_child_start (ev_child *w) 1170void ev_child_start (ev_child *w)
908 CODE: 1171 CODE:
909 START (child, w); 1172 START (child, w);
910 1173
915void DESTROY (ev_child *w) 1178void DESTROY (ev_child *w)
916 CODE: 1179 CODE:
917 STOP (child, w); 1180 STOP (child, w);
918 e_destroy (w); 1181 e_destroy (w);
919 1182
920void set (ev_child *w, int pid) 1183void set (ev_child *w, int pid, int trace)
921 CODE: 1184 CODE:
922 RESET (child, w, (w, pid)); 1185 RESET (child, w, (w, pid, trace));
923 1186
924int pid (ev_child *w, int new_pid = 0)
925 CODE:
926{
927 RETVAL = w->pid;
928
929 if (items > 1)
930 RESET (child, w, (w, new_pid));
931}
932 OUTPUT:
933 RETVAL
934
935
936int rstatus (ev_child *w) 1187int pid (ev_child *w)
937 ALIAS: 1188 ALIAS:
938 rpid = 1 1189 rpid = 1
1190 rstatus = 2
939 CODE: 1191 CODE:
940 RETVAL = ix ? w->rpid : w->rstatus; 1192 RETVAL = ix == 0 ? w->pid
1193 : ix == 1 ? w->rpid
1194 : w->rstatus;
941 OUTPUT: 1195 OUTPUT:
942 RETVAL 1196 RETVAL
1197
1198#endif
943 1199
944MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1200MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
945 1201
946void ev_stat_start (ev_stat *w) 1202void ev_stat_start (ev_stat *w)
947 CODE: 1203 CODE:
957 e_destroy (w); 1213 e_destroy (w);
958 1214
959void set (ev_stat *w, SV *path, NV interval) 1215void set (ev_stat *w, SV *path, NV interval)
960 CODE: 1216 CODE:
961{ 1217{
962 sv_setsv (w->fh, path); 1218 sv_setsv (e_fh (w), path);
963 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1219 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
964} 1220}
965 1221
966SV *path (ev_stat *w, SV *new_path = 0) 1222SV *path (ev_stat *w, SV *new_path = 0)
967 CODE: 1223 CODE:
968{ 1224{
969 RETVAL = SvREFCNT_inc (w->fh); 1225 RETVAL = SvREFCNT_inc (e_fh (w));
970 1226
971 if (items > 1) 1227 if (items > 1)
972 { 1228 {
973 SvREFCNT_dec (w->fh); 1229 SvREFCNT_dec (e_fh (w));
974 w->fh = newSVsv (new_path); 1230 e_fh (w) = newSVsv (new_path);
975 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1231 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
976 } 1232 }
977} 1233}
978 OUTPUT: 1234 OUTPUT:
979 RETVAL 1235 RETVAL
980 1236
982 CODE: 1238 CODE:
983{ 1239{
984 RETVAL = w->interval; 1240 RETVAL = w->interval;
985 1241
986 if (items > 1) 1242 if (items > 1)
987 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1243 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
988} 1244}
989 OUTPUT: 1245 OUTPUT:
990 RETVAL 1246 RETVAL
991 1247
992void prev (ev_stat *w) 1248void prev (ev_stat *w)
996 PPCODE: 1252 PPCODE:
997{ 1253{
998 ev_statdata *s = ix ? &w->attr : &w->prev; 1254 ev_statdata *s = ix ? &w->attr : &w->prev;
999 1255
1000 if (ix == 1) 1256 if (ix == 1)
1001 ev_stat_stat (w); 1257 ev_stat_stat (e_loop (w), w);
1002 else if (!s->st_nlink) 1258 else if (!s->st_nlink)
1003 errno = ENOENT; 1259 errno = ENOENT;
1004 1260
1005 PL_statcache.st_dev = s->st_nlink; 1261 PL_statcache.st_dev = s->st_nlink;
1006 PL_statcache.st_ino = s->st_ino; 1262 PL_statcache.st_ino = s->st_ino;
1033 PUSHs (sv_2mortal (newSVuv (4096))); 1289 PUSHs (sv_2mortal (newSVuv (4096)));
1034 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096)))); 1290 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096))));
1035 } 1291 }
1036} 1292}
1037 1293
1038#ifndef _WIN32
1039
1040MODULE = EV PACKAGE = EV::DNS PREFIX = evdns_ 1294MODULE = EV PACKAGE = EV::Embed PREFIX = ev_embed_
1041 1295
1042BOOT: 1296void ev_embed_start (ev_embed *w)
1043{ 1297 CODE:
1044 HV *stash = gv_stashpv ("EV::DNS", 1); 1298 START (embed, w);
1045 1299
1046 static const struct { 1300void ev_embed_stop (ev_embed *w)
1047 const char *name; 1301 CODE:
1048 IV iv; 1302 STOP (embed, w);
1049 } *civ, const_iv[] = {
1050# define const_iv(pfx, name) { # name, (IV) pfx ## name },
1051 const_iv (DNS_, ERR_NONE)
1052 const_iv (DNS_, ERR_FORMAT)
1053 const_iv (DNS_, ERR_SERVERFAILED)
1054 const_iv (DNS_, ERR_NOTEXIST)
1055 const_iv (DNS_, ERR_NOTIMPL)
1056 const_iv (DNS_, ERR_REFUSED)
1057 const_iv (DNS_, ERR_TRUNCATED)
1058 const_iv (DNS_, ERR_UNKNOWN)
1059 const_iv (DNS_, ERR_TIMEOUT)
1060 const_iv (DNS_, ERR_SHUTDOWN)
1061 const_iv (DNS_, IPv4_A)
1062 const_iv (DNS_, PTR)
1063 const_iv (DNS_, IPv6_AAAA)
1064 const_iv (DNS_, QUERY_NO_SEARCH)
1065 const_iv (DNS_, OPTION_SEARCH)
1066 const_iv (DNS_, OPTION_NAMESERVERS)
1067 const_iv (DNS_, OPTION_MISC)
1068 const_iv (DNS_, OPTIONS_ALL)
1069 const_iv (DNS_, NO_SEARCH)
1070 };
1071 1303
1072 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 1304void DESTROY (ev_embed *w)
1073 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 1305 CODE:
1074} 1306 STOP (embed, w);
1307 e_destroy (w);
1075 1308
1076int evdns_init () 1309void set (ev_embed *w, struct ev_loop *loop)
1310 CODE:
1311{
1312 sv_setsv (e_fh (w), ST (1));
1313 RESET (embed, w, (w, loop));
1314}
1077 1315
1078void evdns_shutdown (int fail_requests = 1) 1316SV *other (ev_embed *w)
1317 CODE:
1318 RETVAL = newSVsv (e_fh (w));
1319 OUTPUT:
1320 RETVAL
1079 1321
1080const char *evdns_err_to_string (int err) 1322void ev_embed_sweep (ev_embed *w)
1323 C_ARGS: e_loop (w), w
1081 1324
1082int evdns_nameserver_add (U32 address) 1325MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1083 1326
1084int evdns_count_nameservers () 1327void ev_async_start (ev_async *w)
1328 CODE:
1329 START (async, w);
1085 1330
1086int evdns_clear_nameservers_and_suspend () 1331void ev_async_stop (ev_async *w)
1332 CODE:
1333 STOP (async, w);
1087 1334
1088int evdns_resume () 1335void DESTROY (ev_async *w)
1336 CODE:
1337 STOP (async, w);
1338 e_destroy (w);
1089 1339
1090int evdns_nameserver_ip_add (char *ip_as_string) 1340void ev_async_send (ev_async *w)
1341 C_ARGS: e_loop (w), w
1091 1342
1092int evdns_resolve_ipv4 (const char *name, int flags, SV *cb) 1343SV *ev_async_async_pending (ev_async *w)
1093 C_ARGS: name, flags, dns_cb, (void *)SvREFCNT_inc (cb)
1094
1095int evdns_resolve_ipv6 (const char *name, int flags, SV *cb)
1096 C_ARGS: name, flags, dns_cb, (void *)SvREFCNT_inc (cb)
1097
1098int evdns_resolve_reverse (SV *addr, int flags, SV *cb)
1099 ALIAS:
1100 evdns_resolve_reverse_ipv6 = 1
1101 CODE: 1344 CODE:
1102{ 1345 RETVAL = boolSV (ev_async_pending (w));
1103 STRLEN len; 1346 OUTPUT:
1104 char *data = SvPVbyte (addr, len);
1105 if (len != (ix ? 16 : 4))
1106 croak ("ipv4/ipv6 address to be resolved must be given as 4/16 byte octet string");
1107
1108 RETVAL = ix 1347 RETVAL
1109 ? evdns_resolve_reverse_ipv6 ((struct in6_addr *)data, flags, dns_cb, (void *)SvREFCNT_inc (cb))
1110 : evdns_resolve_reverse ((struct in_addr *)data, flags, dns_cb, (void *)SvREFCNT_inc (cb));
1111}
1112 OUTPUT:
1113 RETVAL
1114 1348
1115int evdns_set_option (char *option, char *val, int flags) 1349#ifndef EV_NO_LOOPS
1116 1350
1117int evdns_resolv_conf_parse (int flags, const char *filename) 1351MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1118 1352
1119#ifdef _WIN32 1353SV *new (SV *klass, unsigned int flags = 0)
1354 CODE:
1355{
1356 struct ev_loop *loop = ev_loop_new (flags);
1120 1357
1121int evdns_config_windows_nameservers () 1358 if (!loop)
1359 XSRETURN_UNDEF;
1360
1361 RETVAL = sv_bless (newRV_noinc (newSViv (PTR2IV (loop))), stash_loop);
1362}
1363 OUTPUT:
1364 RETVAL
1365
1366void DESTROY (struct ev_loop *loop)
1367 CODE:
1368 /* 1. the default loop shouldn't be freed by destroying it'S pelr loop object */
1369 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1370 if (loop != evapi.default_loop)
1371 ev_loop_destroy (loop);
1372
1373void ev_loop_fork (struct ev_loop *loop)
1374
1375NV ev_now (struct ev_loop *loop)
1376
1377void ev_now_update (struct ev_loop *loop)
1378
1379void ev_suspend (struct ev_loop *loop)
1380
1381void ev_resume (struct ev_loop *loop)
1382
1383void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1384
1385void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1386
1387unsigned int ev_backend (struct ev_loop *loop)
1388
1389void ev_verify (struct ev_loop *loop)
1390 ALIAS:
1391 loop_verify = 1
1392
1393unsigned int ev_iteration (struct ev_loop *loop)
1394 ALIAS:
1395 loop_count = 1
1396
1397unsigned int ev_depth (struct ev_loop *loop)
1398 ALIAS:
1399 loop_depth = 1
1400
1401void ev_run (struct ev_loop *loop, int flags = 0)
1402 ALIAS:
1403 loop = 1
1404
1405void ev_break (struct ev_loop *loop, int how = 1)
1406 ALIAS:
1407 unloop = 1
1408
1409void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1410
1411unsigned int ev_pending_count (struct ev_loop *loop)
1412
1413void ev_invoke_pending (struct ev_loop *loop)
1414
1415#if 0
1416
1417void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1418 CODE:
1419{
1420 Signal signum = s_signum (signal);
1421 CHECK_SIG (signal, signum);
1422
1423 ev_feed_signal_event (loop, signum);
1424}
1122 1425
1123#endif 1426#endif
1124 1427
1125void evdns_search_clear () 1428ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1126 1429 ALIAS:
1127void evdns_search_add (char *domain) 1430 io_ns = 1
1128 1431 CODE:
1129void evdns_search_ndots_set (int ndots)
1130
1131#if 0
1132
1133MODULE = EV PACKAGE = EV::HTTP PREFIX = evhttp_
1134
1135BOOT:
1136{ 1432{
1137 HV *stash = gv_stashpv ("EV::HTTP", 1); 1433 int fd = s_fileno (fh, events & EV_WRITE);
1434 CHECK_FD (fh, fd);
1138 1435
1139 static const struct { 1436 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1140 const char *name; 1437 e_fh (RETVAL) = newSVsv (fh);
1141 IV iv; 1438 ev_io_set (RETVAL, fd, events);
1142 } *civ, const_iv[] = { 1439 if (!ix) START (io, RETVAL);
1143# define const_iv(pfx, name) { # name, (IV) pfx ## name },
1144 const_iv (HTTP_, OK)
1145 const_iv (HTTP_, NOCONTENT)
1146 const_iv (HTTP_, MOVEPERM)
1147 const_iv (HTTP_, MOVETEMP)
1148 const_iv (HTTP_, NOTMODIFIED)
1149 const_iv (HTTP_, BADREQUEST)
1150 const_iv (HTTP_, NOTFOUND)
1151 const_iv (HTTP_, SERVUNAVAIL)
1152 const_iv (EVHTTP_, REQ_OWN_CONNECTION)
1153 const_iv (EVHTTP_, PROXY_REQUEST)
1154 const_iv (EVHTTP_, REQ_GET)
1155 const_iv (EVHTTP_, REQ_POST)
1156 const_iv (EVHTTP_, REQ_HEAD)
1157 const_iv (EVHTTP_, REQUEST)
1158 const_iv (EVHTTP_, RESPONSE)
1159 };
1160
1161 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; )
1162 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv));
1163} 1440}
1441 OUTPUT:
1442 RETVAL
1164 1443
1165MODULE = EV PACKAGE = EV::HTTP::Request PREFIX = evhttp_request_ 1444ev_timer *timer (struct ev_loop *loop, NV after, NV repeat, SV *cb)
1445 ALIAS:
1446 timer_ns = 1
1447 INIT:
1448 CHECK_REPEAT (repeat);
1449 CODE:
1450 RETVAL = e_new (sizeof (ev_timer), cb, ST (0));
1451 ev_timer_set (RETVAL, after, repeat);
1452 if (!ix) START (timer, RETVAL);
1453 OUTPUT:
1454 RETVAL
1166 1455
1167#HttpRequest new (SV *klass, SV *cb) 1456SV *periodic (struct ev_loop *loop, NV at, NV interval, SV *reschedule_cb, SV *cb)
1457 ALIAS:
1458 periodic_ns = 1
1459 INIT:
1460 CHECK_REPEAT (interval);
1461 CODE:
1462{
1463 ev_periodic *w;
1464 w = e_new (sizeof (ev_periodic), cb, ST (0));
1465 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1466 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1467 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1468 if (!ix) START (periodic, w);
1469}
1470 OUTPUT:
1471 RETVAL
1168 1472
1169#void DESTROY (struct evhttp_request *req); 1473ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1474 ALIAS:
1475 signal_ns = 1
1476 CODE:
1477{
1478 Signal signum = s_signum (signal);
1479 CHECK_SIG (signal, signum);
1170 1480
1481 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1482 ev_signal_set (RETVAL, signum);
1483 if (!ix) START_SIGNAL (RETVAL);
1484}
1485 OUTPUT:
1486 RETVAL
1487
1488ev_idle *idle (struct ev_loop *loop, SV *cb)
1489 ALIAS:
1490 idle_ns = 1
1491 CODE:
1492 RETVAL = e_new (sizeof (ev_idle), cb, ST (0));
1493 ev_idle_set (RETVAL);
1494 if (!ix) START (idle, RETVAL);
1495 OUTPUT:
1496 RETVAL
1497
1498ev_prepare *prepare (struct ev_loop *loop, SV *cb)
1499 ALIAS:
1500 prepare_ns = 1
1501 CODE:
1502 RETVAL = e_new (sizeof (ev_prepare), cb, ST (0));
1503 ev_prepare_set (RETVAL);
1504 if (!ix) START (prepare, RETVAL);
1505 OUTPUT:
1506 RETVAL
1507
1508ev_check *check (struct ev_loop *loop, SV *cb)
1509 ALIAS:
1510 check_ns = 1
1511 CODE:
1512 RETVAL = e_new (sizeof (ev_check), cb, ST (0));
1513 ev_check_set (RETVAL);
1514 if (!ix) START (check, RETVAL);
1515 OUTPUT:
1516 RETVAL
1517
1518ev_fork *fork (struct ev_loop *loop, SV *cb)
1519 ALIAS:
1520 fork_ns = 1
1521 CODE:
1522 RETVAL = e_new (sizeof (ev_fork), cb, ST (0));
1523 ev_fork_set (RETVAL);
1524 if (!ix) START (fork, RETVAL);
1525 OUTPUT:
1526 RETVAL
1527
1528ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1529 ALIAS:
1530 cleanup_ns = 1
1531 CODE:
1532 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1533 ev_cleanup_set (RETVAL);
1534 if (!ix) START (cleanup, RETVAL);
1535 OUTPUT:
1536 RETVAL
1537
1538ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1539 ALIAS:
1540 child_ns = 1
1541 CODE:
1542#if EV_CHILD_ENABLE
1543 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1544 ev_child_set (RETVAL, pid, trace);
1545 if (!ix) START (child, RETVAL);
1546#else
1547 croak ("EV::child watchers not supported on this platform");
1171#endif 1548#endif
1549 OUTPUT:
1550 RETVAL
1551
1552ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1553 ALIAS:
1554 stat_ns = 1
1555 CODE:
1556 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1557 e_fh (RETVAL) = newSVsv (path);
1558 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1559 if (!ix) START (stat, RETVAL);
1560 OUTPUT:
1561 RETVAL
1562
1563ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1564 ALIAS:
1565 embed_ns = 1
1566 CODE:
1567{
1568 if (!(ev_backend (other) & ev_embeddable_backends ()))
1569 croak ("passed loop is not embeddable via EV::embed,");
1570
1571 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1572 e_fh (RETVAL) = newSVsv (ST (1));
1573 ev_embed_set (RETVAL, other);
1574 if (!ix) START (embed, RETVAL);
1575}
1576 OUTPUT:
1577 RETVAL
1578
1579ev_async *async (struct ev_loop *loop, SV *cb)
1580 ALIAS:
1581 async_ns = 1
1582 CODE:
1583 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1584 ev_async_set (RETVAL);
1585 if (!ix) START (async, RETVAL);
1586 OUTPUT:
1587 RETVAL
1588
1589void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1590 CODE:
1591 ev_once (
1592 loop,
1593 s_fileno (fh, events & EV_WRITE), events,
1594 SvOK (timeout) ? SvNV (timeout) : -1.,
1595 e_once_cb,
1596 newSVsv (cb)
1597 );
1172 1598
1173#endif 1599#endif
1174 1600
1175
1176
1177
1178
1179
1180

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines