ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.85 by root, Sat Dec 1 22:51:34 2007 UTC vs.
Revision 1.170 by root, Mon Jul 13 05:36:15 2015 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/ 5/* fix perl api breakage */
6#ifndef WIN32
7# undef signal
8# undef sigaction
9#endif
6 10
11#include "schmorp.h"
12
13/* old API compatibility */
14static int
15sv_fileno (SV *fh)
16{
17 return s_fileno (fh, 0);
18}
19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#define EV_STANDALONE 1
7#define EV_PROTOTYPES 1 25#define EV_PROTOTYPES 1
26#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
27#define EV_USE_FLOOR 1
28#define EV_API_STATIC
29#define EV_H <ev.h>
30#define EV_CONFIG_H error
8#include "EV/EVAPI.h" 31#include "EV/EVAPI.h"
9
10/* fix perl api breakage */
11#undef signal
12#undef sigaction
13 32
14#define EV_SELECT_IS_WINSOCKET 0 33#define EV_SELECT_IS_WINSOCKET 0
15#ifdef _WIN32 34#ifdef _WIN32
16# define EV_SELECT_USE_FD_SET 0 35# define EV_SELECT_USE_FD_SET 0
17# define NFDBITS PERL_NFDBITS 36# define NFDBITS PERL_NFDBITS
18# define fd_mask Perl_fd_mask 37# define fd_mask Perl_fd_mask
19#endif 38#endif
20/* due to bugs in OS X we have to use libev/ explicitly here */ 39/* due to bugs in OS X we have to use libev/ explicitly here */
21#include "libev/ev.c" 40#include "libev/ev.c"
22#include "event.c"
23 41
24#ifndef _WIN32 42#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
25# include <pthread.h> 43# include <pthread.h>
26#endif 44#endif
27 45
46#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
47#define e_flags(w) ((ev_watcher *)(w))->e_flags
48#define e_self(w) ((ev_watcher *)(w))->self
49#define e_fh(w) ((ev_watcher *)(w))->fh
50#define e_data(w) ((ev_watcher *)(w))->data
51
28#define WFLAG_KEEPALIVE 1 52#define WFLAG_KEEPALIVE 1
53#define WFLAG_UNREFED 2 /* has been unref'ed */
29 54
30#define UNREF(w) \ 55#define UNREF(w) \
31 if (!((w)->flags & WFLAG_KEEPALIVE) \ 56 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
32 && !ev_is_active (w)) \ 57 && ev_is_active (w)) \
33 ev_unref (); 58 { \
59 ev_unref (e_loop (w)); \
60 e_flags (w) |= WFLAG_UNREFED; \
61 }
34 62
35#define REF(w) \ 63#define REF(w) \
36 if (!((w)->flags & WFLAG_KEEPALIVE) \ 64 if (e_flags (w) & WFLAG_UNREFED) \
37 && ev_is_active (w)) \ 65 { \
38 ev_ref (); 66 e_flags (w) &= ~WFLAG_UNREFED; \
67 ev_ref (e_loop (w)); \
68 }
39 69
40#define START(type,w) \ 70#define START(type,w) \
41 do { \ 71 do { \
72 ev_ ## type ## _start (e_loop (w), w); \
42 UNREF (w); \ 73 UNREF (w); \
43 ev_ ## type ## _start (w); \
44 } while (0) 74 } while (0)
45 75
46#define STOP(type,w) \ 76#define STOP(type,w) \
47 do { \ 77 do { \
48 REF (w); \ 78 REF (w); \
49 ev_ ## type ## _stop (w); \ 79 ev_ ## type ## _stop (e_loop (w), w); \
50 } while (0) 80 } while (0)
51 81
52#define RESET(type,w,seta) \ 82#define RESET(type,w,seta) \
53 do { \ 83 do { \
54 int active = ev_is_active (w); \ 84 int active = ev_is_active (w); \
55 if (active) STOP (type, w); \ 85 if (active) STOP (type, w); \
56 ev_ ## type ## _set seta; \ 86 ev_ ## type ## _set seta; \
57 if (active) START (type, w); \ 87 if (active) START (type, w); \
58 } while (0) 88 } while (0)
59 89
60typedef int Signal; 90typedef int Signal;
61 91
92/* horrible... */
93#define CHECK_SIGNAL_CAN_START(w) \
94 do { \
95 /* dive into the internals of libev to avoid aborting in libev */ \
96 if (signals [(w)->signum - 1].loop \
97 && signals [(w)->signum - 1].loop != e_loop (w)) \
98 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
99 } while (0)
100
101#define START_SIGNAL(w) \
102 do { \
103 CHECK_SIGNAL_CAN_START (w); \
104 START (signal, w); \
105 } while (0) \
106
107#define RESET_SIGNAL(w,seta) \
108 do { \
109 int active = ev_is_active (w); \
110 if (active) STOP (signal, w); \
111 ev_ ## signal ## _set seta; \
112 if (active) START_SIGNAL (w); \
113 } while (0)
114
115static SV *default_loop_sv;
116
62static struct EVAPI evapi; 117static struct EVAPI evapi;
63 118
64static HV 119static HV
120 *stash_loop,
65 *stash_watcher, 121 *stash_watcher,
66 *stash_io, 122 *stash_io,
67 *stash_timer, 123 *stash_timer,
68 *stash_periodic, 124 *stash_periodic,
69 *stash_signal, 125 *stash_signal,
71 *stash_stat, 127 *stash_stat,
72 *stash_idle, 128 *stash_idle,
73 *stash_prepare, 129 *stash_prepare,
74 *stash_check, 130 *stash_check,
75 *stash_embed, 131 *stash_embed,
76 *stash_fork; 132 *stash_fork,
77 133 *stash_cleanup,
78#ifndef SIG_SIZE 134 *stash_async;
79/* kudos to Slaven Rezic for the idea */
80static char sig_size [] = { SIG_NUM };
81# define SIG_SIZE (sizeof (sig_size) + 1)
82#endif
83
84static Signal
85sv_signum (SV *sig)
86{
87 Signal signum;
88
89 SvGETMAGIC (sig);
90
91 for (signum = 1; signum < SIG_SIZE; ++signum)
92 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
93 return signum;
94
95 signum = SvIV (sig);
96
97 if (signum > 0 && signum < SIG_SIZE)
98 return signum;
99
100 return -1;
101}
102 135
103///////////////////////////////////////////////////////////////////////////// 136/////////////////////////////////////////////////////////////////////////////
104// Event 137// Event
105 138
106static void e_cb (ev_watcher *w, int revents); 139static void e_cb (EV_P_ ev_watcher *w, int revents);
107
108static int
109sv_fileno (SV *fh)
110{
111 SvGETMAGIC (fh);
112
113 if (SvROK (fh))
114 fh = SvRV (fh);
115
116 if (SvTYPE (fh) == SVt_PVGV)
117 return PerlIO_fileno (IoIFP (sv_2io (fh)));
118
119 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
120 return SvIV (fh);
121
122 return -1;
123}
124 140
125static void * 141static void *
126e_new (int size, SV *cb_sv) 142e_new (int size, SV *cb_sv, SV *loop)
127{ 143{
144 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
128 ev_watcher *w; 145 ev_watcher *w;
129 SV *self = NEWSV (0, size); 146 SV *self = NEWSV (0, size);
130 SvPOK_only (self); 147 SvPOK_only (self);
131 SvCUR_set (self, size); 148 SvCUR_set (self, size);
132 149
133 w = (ev_watcher *)SvPVX (self); 150 w = (ev_watcher *)SvPVX (self);
134 151
135 ev_init (w, e_cb); 152 ev_init (w, cv ? e_cb : 0);
136 153
154 w->loop = SvREFCNT_inc (SvRV (loop));
137 w->flags = WFLAG_KEEPALIVE; 155 w->e_flags = WFLAG_KEEPALIVE;
138 w->data = 0; 156 w->data = 0;
139 w->fh = 0; 157 w->fh = 0;
140 w->cb_sv = newSVsv (cb_sv); 158 w->cb_sv = SvREFCNT_inc (cv);
141 w->self = self; 159 w->self = self;
142 160
143 return (void *)w; 161 return (void *)w;
144} 162}
145 163
146static void 164static void
147e_destroy (void *w_) 165e_destroy (void *w_)
148{ 166{
149 ev_watcher *w = (ev_watcher *)w_; 167 ev_watcher *w = (ev_watcher *)w_;
150 168
169 SvREFCNT_dec (w->loop ); w->loop = 0;
151 SvREFCNT_dec (w->fh ); w->fh = 0; 170 SvREFCNT_dec (w->fh ); w->fh = 0;
152 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0; 171 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0;
153 SvREFCNT_dec (w->data ); w->data = 0; 172 SvREFCNT_dec (w->data ); w->data = 0;
154} 173}
155 174
168 } 187 }
169 188
170 return rv; 189 return rv;
171} 190}
172 191
173static SV *sv_events_cache; 192static SV *sv_self_cache, *sv_events_cache;
174 193
175static void 194static void
176e_cb (ev_watcher *w, int revents) 195e_cb (EV_P_ ev_watcher *w, int revents)
177{ 196{
178 dSP; 197 dSP;
179 I32 mark = SP - PL_stack_base; 198 I32 mark = SP - PL_stack_base;
180 SV *sv_self, *sv_events; 199 SV *sv_self, *sv_events;
181 200
201 /* libev might have stopped the watcher */
202 if (expect_false (w->e_flags & WFLAG_UNREFED)
203 && !ev_is_active (w))
204 REF (w);
205
206 if (expect_true (sv_self_cache))
207 {
208 sv_self = sv_self_cache; sv_self_cache = 0;
209 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
210 }
211 else
212 {
182 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 213 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
214 SvREADONLY_on (sv_self);
215 }
216
217 if (expect_true (sv_events_cache))
218 {
219 sv_events = sv_events_cache; sv_events_cache = 0;
220 SvIV_set (sv_events, revents);
221 SvIOK_only (sv_events);
222 }
223 else
224 {
225 sv_events = newSViv (revents);
226 SvREADONLY_on (sv_events);
227 }
228
229 PUSHMARK (SP);
230 EXTEND (SP, 2);
231 PUSHs (sv_self);
232 PUSHs (sv_events);
233
234 PUTBACK;
235 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
236
237 if (expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
238 SvREFCNT_dec (sv_self);
239 else
240 {
241 SvREFCNT_dec (SvRV (sv_self));
242 SvRV_set (sv_self, &PL_sv_undef);
243 sv_self_cache = sv_self;
244 }
245
246 if (expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
247 SvREFCNT_dec (sv_events);
248 else
249 sv_events_cache = sv_events;
250
251 if (expect_false (SvTRUE (ERRSV)))
252 {
253 SPAGAIN;
254 PUSHMARK (SP);
255 PUTBACK;
256 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
257 }
258
259 SP = PL_stack_base + mark;
260 PUTBACK;
261}
262
263static void
264e_once_cb (int revents, void *arg)
265{
266 dSP;
267 I32 mark = SP - PL_stack_base;
268 SV *sv_events;
183 269
184 if (sv_events_cache) 270 if (sv_events_cache)
185 { 271 {
186 sv_events = sv_events_cache; sv_events_cache = 0; 272 sv_events = sv_events_cache; sv_events_cache = 0;
187 SvIV_set (sv_events, revents); 273 SvIV_set (sv_events, revents);
188 } 274 }
189 else 275 else
190 sv_events = newSViv (revents); 276 sv_events = newSViv (revents);
191 277
192 PUSHMARK (SP); 278 PUSHMARK (SP);
193 EXTEND (SP, 2);
194 PUSHs (sv_self);
195 PUSHs (sv_events); 279 XPUSHs (sv_events);
196 280
197 PUTBACK; 281 PUTBACK;
198 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 282 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
199 283
200 SvREFCNT_dec (sv_self); 284 SvREFCNT_dec ((SV *)arg);
201 285
202 if (sv_events_cache) 286 if (sv_events_cache)
203 SvREFCNT_dec (sv_events); 287 SvREFCNT_dec (sv_events);
204 else 288 else
205 sv_events_cache = sv_events; 289 sv_events_cache = sv_events;
214 298
215 SP = PL_stack_base + mark; 299 SP = PL_stack_base + mark;
216 PUTBACK; 300 PUTBACK;
217} 301}
218 302
219static void
220e_once_cb (int revents, void *arg)
221{
222 dSP;
223 I32 mark = SP - PL_stack_base;
224 SV *sv_events;
225
226 if (sv_events_cache)
227 {
228 sv_events = sv_events_cache; sv_events_cache = 0;
229 SvIV_set (sv_events, revents);
230 }
231 else
232 sv_events = newSViv (revents);
233
234 PUSHMARK (SP);
235 XPUSHs (sv_events);
236
237 PUTBACK;
238 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
239
240 SvREFCNT_dec ((SV *)arg);
241
242 if (sv_events_cache)
243 SvREFCNT_dec (sv_events);
244 else
245 sv_events_cache = sv_events;
246
247 if (SvTRUE (ERRSV))
248 {
249 PUSHMARK (SP);
250 PUTBACK;
251 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
252 }
253
254 SP = PL_stack_base + mark;
255 PUTBACK;
256}
257
258static ev_tstamp 303static ev_tstamp
259e_periodic_cb (ev_periodic *w, ev_tstamp now) 304e_periodic_cb (ev_periodic *w, ev_tstamp now)
260{ 305{
261 ev_tstamp retval; 306 ev_tstamp retval;
262 int count; 307 int count;
265 ENTER; 310 ENTER;
266 SAVETMPS; 311 SAVETMPS;
267 312
268 PUSHMARK (SP); 313 PUSHMARK (SP);
269 EXTEND (SP, 2); 314 EXTEND (SP, 2);
270 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 315 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
271 PUSHs (newSVnv (now)); 316 PUSHs (newSVnv (now));
272 317
273 PUTBACK; 318 PUTBACK;
274 count = call_sv (w->fh, G_SCALAR | G_EVAL); 319 count = call_sv (w->fh, G_SCALAR | G_EVAL);
275 SPAGAIN; 320 SPAGAIN;
305 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 350 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
306 351
307#define CHECK_SIG(sv,num) if ((num) < 0) \ 352#define CHECK_SIG(sv,num) if ((num) < 0) \
308 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 353 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
309 354
355static void
356default_fork (void)
357{
358 ev_loop_fork (EV_DEFAULT_UC);
359}
360
310///////////////////////////////////////////////////////////////////////////// 361/////////////////////////////////////////////////////////////////////////////
311// XS interface functions 362// XS interface functions
312 363
313MODULE = EV PACKAGE = EV PREFIX = ev_ 364MODULE = EV PACKAGE = EV PREFIX = ev_
314 365
326 const_iv (EV_, MINPRI) 377 const_iv (EV_, MINPRI)
327 const_iv (EV_, MAXPRI) 378 const_iv (EV_, MAXPRI)
328 379
329 const_iv (EV_, UNDEF) 380 const_iv (EV_, UNDEF)
330 const_iv (EV_, NONE) 381 const_iv (EV_, NONE)
331 const_iv (EV_, TIMEOUT)
332 const_iv (EV_, READ) 382 const_iv (EV_, READ)
333 const_iv (EV_, WRITE) 383 const_iv (EV_, WRITE)
384 const_iv (EV_, IO)
385 const_iv (EV_, TIMER)
386 const_iv (EV_, PERIODIC)
334 const_iv (EV_, SIGNAL) 387 const_iv (EV_, SIGNAL)
388 const_iv (EV_, CHILD)
389 const_iv (EV_, STAT)
335 const_iv (EV_, IDLE) 390 const_iv (EV_, IDLE)
391 const_iv (EV_, PREPARE)
392 /*const_iv (EV_, CHECK) needs special tretament */
393 const_iv (EV_, EMBED)
336 const_iv (EV_, CHECK) 394 const_iv (EV_, FORK)
395 const_iv (EV_, CLEANUP)
396 const_iv (EV_, ASYNC)
397 const_iv (EV_, CUSTOM)
337 const_iv (EV_, ERROR) 398 const_iv (EV_, ERROR)
338 399
339 const_iv (EV, LOOP_ONESHOT) 400 const_iv (EV, RUN_NOWAIT)
340 const_iv (EV, LOOP_NONBLOCK)
341 const_iv (EV, UNLOOP_ONE) 401 const_iv (EV, RUN_ONCE)
402
403 const_iv (EV, BREAK_CANCEL)
404 const_iv (EV, BREAK_ONE)
342 const_iv (EV, UNLOOP_ALL) 405 const_iv (EV, BREAK_ALL)
343
344 const_iv (EV, BACKEND_SELECT) 406 const_iv (EV, BACKEND_SELECT)
345 const_iv (EV, BACKEND_POLL) 407 const_iv (EV, BACKEND_POLL)
346 const_iv (EV, BACKEND_EPOLL) 408 const_iv (EV, BACKEND_EPOLL)
347 const_iv (EV, BACKEND_KQUEUE) 409 const_iv (EV, BACKEND_KQUEUE)
348 const_iv (EV, BACKEND_DEVPOLL) 410 const_iv (EV, BACKEND_DEVPOLL)
349 const_iv (EV, BACKEND_PORT) 411 const_iv (EV, BACKEND_PORT)
412 const_iv (EV, BACKEND_ALL)
413 const_iv (EV, BACKEND_MASK)
350 const_iv (EV, FLAG_AUTO) 414 const_iv (EV, FLAG_AUTO)
415 const_iv (EV, FLAG_FORKCHECK)
416 const_iv (EV, FLAG_SIGNALFD)
417 const_iv (EV, FLAG_NOSIGMASK)
351 const_iv (EV, FLAG_NOENV) 418 const_iv (EV, FLAG_NOENV)
352 const_iv (EV, FLAG_FORKCHECK) 419 const_iv (EV, FLAG_NOINOTIFY)
420
421 const_iv (EV_, VERSION_MAJOR)
422 const_iv (EV_, VERSION_MINOR)
423#if EV_COMPAT3
424 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
425 const_iv (EV_, TIMEOUT)
426 const_iv (EV, LOOP_NONBLOCK)
427 const_iv (EV, LOOP_ONESHOT)
428 const_iv (EV, UNLOOP_CANCEL)
429 const_iv (EV, UNLOOP_ONE)
430 const_iv (EV, UNLOOP_ALL)
431#endif
353 }; 432 };
354 433
355 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 434 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
356 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 435 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
357 436
437 /* since this clashes with perl CHECK blocks, */
438 /* but we are interested in constants, */
439 /* and not blocks, we treat CHECK specially. */
440 {
441 /* the local $^W = 0 takes care of the warning */
442 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
443 /* now we need to re-set the gv, in case it was hijacked */
444 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
445 }
446
447 stash_loop = gv_stashpv ("EV::Loop" , 1);
358 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 448 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
359 stash_io = gv_stashpv ("EV::IO" , 1); 449 stash_io = gv_stashpv ("EV::IO" , 1);
360 stash_timer = gv_stashpv ("EV::Timer" , 1); 450 stash_timer = gv_stashpv ("EV::Timer" , 1);
361 stash_periodic = gv_stashpv ("EV::Periodic", 1); 451 stash_periodic = gv_stashpv ("EV::Periodic", 1);
362 stash_signal = gv_stashpv ("EV::Signal" , 1); 452 stash_signal = gv_stashpv ("EV::Signal" , 1);
364 stash_prepare = gv_stashpv ("EV::Prepare" , 1); 454 stash_prepare = gv_stashpv ("EV::Prepare" , 1);
365 stash_check = gv_stashpv ("EV::Check" , 1); 455 stash_check = gv_stashpv ("EV::Check" , 1);
366 stash_child = gv_stashpv ("EV::Child" , 1); 456 stash_child = gv_stashpv ("EV::Child" , 1);
367 stash_embed = gv_stashpv ("EV::Embed" , 1); 457 stash_embed = gv_stashpv ("EV::Embed" , 1);
368 stash_stat = gv_stashpv ("EV::Stat" , 1); 458 stash_stat = gv_stashpv ("EV::Stat" , 1);
459 stash_fork = gv_stashpv ("EV::Fork" , 1);
460 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
461 stash_async = gv_stashpv ("EV::Async" , 1);
369 462
370 { 463 {
371 SV *sv = perl_get_sv ("EV::API", TRUE); 464 SV *sv = perl_get_sv ("EV::API", TRUE);
372 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 465 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
373 466
374 /* the poor man's shared library emulator */ 467 /* the poor man's shared library emulator */
375 evapi.ver = EV_API_VERSION; 468 evapi.ver = EV_API_VERSION;
376 evapi.rev = EV_API_REVISION; 469 evapi.rev = EV_API_REVISION;
377 evapi.sv_fileno = sv_fileno; 470 evapi.sv_fileno = sv_fileno;
378 evapi.sv_signum = sv_signum; 471 evapi.sv_signum = s_signum;
472 evapi.supported_backends = ev_supported_backends ();
473 evapi.recommended_backends = ev_recommended_backends ();
474 evapi.embeddable_backends = ev_embeddable_backends ();
475 evapi.time_ = ev_time;
476 evapi.sleep_ = ev_sleep;
477 evapi.loop_new = ev_loop_new;
478 evapi.loop_destroy = ev_loop_destroy;
479 evapi.loop_fork = ev_loop_fork;
480 evapi.iteration = ev_iteration;
481 evapi.depth = ev_depth;
482 evapi.set_userdata = ev_set_userdata;
483 evapi.userdata = ev_userdata;
379 evapi.now = ev_now; 484 evapi.now = ev_now;
485 evapi.now_update = ev_now_update;
486 evapi.suspend = ev_suspend;
487 evapi.resume = ev_resume;
380 evapi.backend = ev_backend; 488 evapi.backend = ev_backend;
381 evapi.unloop = ev_unloop; 489 evapi.break_ = ev_break;
490 evapi.invoke_pending = ev_invoke_pending;
491 evapi.pending_count = ev_pending_count;
492 evapi.verify = ev_verify;
493 evapi.set_loop_release_cb = ev_set_loop_release_cb;
494 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
382 evapi.ref = ev_ref; 495 evapi.ref = ev_ref;
383 evapi.unref = ev_unref; 496 evapi.unref = ev_unref;
384 evapi.time = ev_time; 497 evapi.run = ev_run;
385 evapi.loop = ev_loop;
386 evapi.once = ev_once; 498 evapi.once = ev_once;
387 evapi.io_start = ev_io_start; 499 evapi.io_start = ev_io_start;
388 evapi.io_stop = ev_io_stop; 500 evapi.io_stop = ev_io_stop;
389 evapi.timer_start = ev_timer_start; 501 evapi.timer_start = ev_timer_start;
390 evapi.timer_stop = ev_timer_stop; 502 evapi.timer_stop = ev_timer_stop;
391 evapi.timer_again = ev_timer_again; 503 evapi.timer_again = ev_timer_again;
504 evapi.timer_remaining = ev_timer_remaining;
392 evapi.periodic_start = ev_periodic_start; 505 evapi.periodic_start = ev_periodic_start;
393 evapi.periodic_stop = ev_periodic_stop; 506 evapi.periodic_stop = ev_periodic_stop;
394 evapi.signal_start = ev_signal_start; 507 evapi.signal_start = ev_signal_start;
395 evapi.signal_stop = ev_signal_stop; 508 evapi.signal_stop = ev_signal_stop;
396 evapi.idle_start = ev_idle_start; 509 evapi.idle_start = ev_idle_start;
397 evapi.idle_stop = ev_idle_stop; 510 evapi.idle_stop = ev_idle_stop;
398 evapi.prepare_start = ev_prepare_start; 511 evapi.prepare_start = ev_prepare_start;
399 evapi.prepare_stop = ev_prepare_stop; 512 evapi.prepare_stop = ev_prepare_stop;
400 evapi.check_start = ev_check_start; 513 evapi.check_start = ev_check_start;
401 evapi.check_stop = ev_check_stop; 514 evapi.check_stop = ev_check_stop;
515#if EV_CHILD_ENABLE
402 evapi.child_start = ev_child_start; 516 evapi.child_start = ev_child_start;
403 evapi.child_stop = ev_child_stop; 517 evapi.child_stop = ev_child_stop;
518#endif
404 evapi.stat_start = ev_stat_start; 519 evapi.stat_start = ev_stat_start;
405 evapi.stat_stop = ev_stat_stop; 520 evapi.stat_stop = ev_stat_stop;
406 evapi.stat_stat = ev_stat_stat; 521 evapi.stat_stat = ev_stat_stat;
522 evapi.embed_start = ev_embed_start;
523 evapi.embed_stop = ev_embed_stop;
524 evapi.embed_sweep = ev_embed_sweep;
525 evapi.fork_start = ev_fork_start;
526 evapi.fork_stop = ev_fork_stop;
527 evapi.cleanup_start = ev_cleanup_start;
528 evapi.cleanup_stop = ev_cleanup_stop;
529 evapi.async_start = ev_async_start;
530 evapi.async_stop = ev_async_stop;
531 evapi.async_send = ev_async_send;
532 evapi.clear_pending = ev_clear_pending;
533 evapi.invoke = ev_invoke;
407 534
408 sv_setiv (sv, (IV)&evapi); 535 sv_setiv (sv, (IV)&evapi);
409 SvREADONLY_on (sv); 536 SvREADONLY_on (sv);
410 } 537 }
411#ifndef _WIN32 538#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
539#if __linux
540 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
541 __register_atfork (0, 0, default_fork, 0);
542#else
412 pthread_atfork (0, 0, ev_default_fork); 543 pthread_atfork (0, 0, default_fork);
413#endif 544#endif
545#endif
546}
547
548SV *ev_default_loop (unsigned int flags = 0)
549 CODE:
550{
551 if (!default_loop_sv)
552 {
553 evapi.default_loop = ev_default_loop (flags);
554
555 if (!evapi.default_loop)
556 XSRETURN_UNDEF;
557
558 default_loop_sv = sv_bless (newRV_noinc (newSViv (PTR2IV (evapi.default_loop))), stash_loop);
559 }
560
561 RETVAL = newSVsv (default_loop_sv);
562}
563 OUTPUT:
564 RETVAL
565
566void ev_default_destroy ()
567 CODE:
568 ev_loop_destroy (EV_DEFAULT_UC);
569 SvREFCNT_dec (default_loop_sv);
570 default_loop_sv = 0;
571
572unsigned int ev_supported_backends ()
573
574unsigned int ev_recommended_backends ()
575
576unsigned int ev_embeddable_backends ()
577
578void ev_sleep (NV interval)
579
580NV ev_time ()
581
582void ev_feed_signal (SV *signal)
583 CODE:
584{
585 Signal signum = s_signum (signal);
586 CHECK_SIG (signal, signum);
587
588 ev_feed_signal (signum);
414} 589}
415 590
416NV ev_now () 591NV ev_now ()
592 C_ARGS: evapi.default_loop
593
594void ev_now_update ()
595 C_ARGS: evapi.default_loop
596
597void ev_suspend ()
598 C_ARGS: evapi.default_loop
599
600void ev_resume ()
601 C_ARGS: evapi.default_loop
417 602
418unsigned int ev_backend () 603unsigned int ev_backend ()
604 C_ARGS: evapi.default_loop
419 605
420NV ev_time () 606void ev_verify ()
607 ALIAS:
608 loop_verify = 1
609 C_ARGS: evapi.default_loop
421 610
422unsigned int ev_default_loop (unsigned int flags = ev_supported_backends ()) 611unsigned int ev_iteration ()
612 ALIAS:
613 loop_count = 1
614 C_ARGS: evapi.default_loop
423 615
616unsigned int ev_depth ()
617 ALIAS:
618 loop_depth = 1
619 C_ARGS: evapi.default_loop
620
621void ev_set_io_collect_interval (NV interval)
622 C_ARGS: evapi.default_loop, interval
623
624void ev_set_timeout_collect_interval (NV interval)
625 C_ARGS: evapi.default_loop, interval
626
424void ev_loop (int flags = 0) 627int ev_run (int flags = 0)
628 ALIAS:
629 loop = 1
630 C_ARGS: evapi.default_loop, flags
425 631
426void ev_unloop (int how = 1) 632void ev_break (int how = EVBREAK_ONE)
633 ALIAS:
634 unloop = 1
635 C_ARGS: evapi.default_loop, how
636
637void ev_feed_fd_event (int fd, int revents = EV_NONE)
638 C_ARGS: evapi.default_loop, fd, revents
639
640void ev_feed_signal_event (SV *signal)
641 CODE:
642{
643 Signal signum = s_signum (signal);
644 CHECK_SIG (signal, signum);
645
646 ev_feed_signal_event (evapi.default_loop, signum);
647}
648
649unsigned int ev_pending_count ()
650 C_ARGS: evapi.default_loop
651
652void ev_invoke_pending ()
653 C_ARGS: evapi.default_loop
427 654
428ev_io *io (SV *fh, int events, SV *cb) 655ev_io *io (SV *fh, int events, SV *cb)
429 ALIAS: 656 ALIAS:
430 io_ns = 1 657 io_ns = 1
658 _ae_io = 2
431 CODE: 659 CODE:
432{ 660{
433 int fd = sv_fileno (fh); 661 int fd = s_fileno (fh, events & EV_WRITE);
434 CHECK_FD (fh, fd); 662 CHECK_FD (fh, fd);
435 663
664 if (ix == 2)
665 {
666 ix = 0;
667 events = events ? EV_WRITE : EV_READ;
668 }
669
436 RETVAL = e_new (sizeof (ev_io), cb); 670 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
437 RETVAL->fh = newSVsv (fh); 671 e_fh (RETVAL) = newSVsv (fh);
438 ev_io_set (RETVAL, fd, events); 672 ev_io_set (RETVAL, fd, events);
439 if (!ix) START (io, RETVAL); 673 if (!ix) START (io, RETVAL);
440} 674}
441 OUTPUT: 675 OUTPUT:
442 RETVAL 676 RETVAL
445 ALIAS: 679 ALIAS:
446 timer_ns = 1 680 timer_ns = 1
447 INIT: 681 INIT:
448 CHECK_REPEAT (repeat); 682 CHECK_REPEAT (repeat);
449 CODE: 683 CODE:
450 RETVAL = e_new (sizeof (ev_timer), cb); 684 RETVAL = e_new (sizeof (ev_timer), cb, default_loop_sv);
451 ev_timer_set (RETVAL, after, repeat); 685 ev_timer_set (RETVAL, after, repeat);
452 if (!ix) START (timer, RETVAL); 686 if (!ix) START (timer, RETVAL);
453 OUTPUT: 687 OUTPUT:
454 RETVAL 688 RETVAL
455 689
458 periodic_ns = 1 692 periodic_ns = 1
459 INIT: 693 INIT:
460 CHECK_REPEAT (interval); 694 CHECK_REPEAT (interval);
461 CODE: 695 CODE:
462{ 696{
463 ev_periodic *w; 697 ev_periodic *w;
464 w = e_new (sizeof (ev_periodic), cb); 698 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
465 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 699 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
466 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 700 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
467 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 701 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
468 if (!ix) START (periodic, w); 702 if (!ix) START (periodic, w);
469} 703}
470 OUTPUT: 704 OUTPUT:
471 RETVAL 705 RETVAL
473ev_signal *signal (SV *signal, SV *cb) 707ev_signal *signal (SV *signal, SV *cb)
474 ALIAS: 708 ALIAS:
475 signal_ns = 1 709 signal_ns = 1
476 CODE: 710 CODE:
477{ 711{
478 Signal signum = sv_signum (signal); 712 Signal signum = s_signum (signal);
479 CHECK_SIG (signal, signum); 713 CHECK_SIG (signal, signum);
480 714
481 RETVAL = e_new (sizeof (ev_signal), cb); 715 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
482 ev_signal_set (RETVAL, signum); 716 ev_signal_set (RETVAL, signum);
483 if (!ix) START (signal, RETVAL); 717 if (!ix) START_SIGNAL (RETVAL);
484} 718}
485 OUTPUT: 719 OUTPUT:
486 RETVAL 720 RETVAL
487 721
488ev_idle *idle (SV *cb) 722ev_idle *idle (SV *cb)
489 ALIAS: 723 ALIAS:
490 idle_ns = 1 724 idle_ns = 1
491 CODE: 725 CODE:
492 RETVAL = e_new (sizeof (ev_idle), cb); 726 RETVAL = e_new (sizeof (ev_idle), cb, default_loop_sv);
493 ev_idle_set (RETVAL); 727 ev_idle_set (RETVAL);
494 if (!ix) START (idle, RETVAL); 728 if (!ix) START (idle, RETVAL);
495 OUTPUT: 729 OUTPUT:
496 RETVAL 730 RETVAL
497 731
498ev_prepare *prepare (SV *cb) 732ev_prepare *prepare (SV *cb)
499 ALIAS: 733 ALIAS:
500 prepare_ns = 1 734 prepare_ns = 1
501 CODE: 735 CODE:
502 RETVAL = e_new (sizeof (ev_prepare), cb); 736 RETVAL = e_new (sizeof (ev_prepare), cb, default_loop_sv);
503 ev_prepare_set (RETVAL); 737 ev_prepare_set (RETVAL);
504 if (!ix) START (prepare, RETVAL); 738 if (!ix) START (prepare, RETVAL);
505 OUTPUT: 739 OUTPUT:
506 RETVAL 740 RETVAL
507 741
508ev_check *check (SV *cb) 742ev_check *check (SV *cb)
509 ALIAS: 743 ALIAS:
510 check_ns = 1 744 check_ns = 1
511 CODE: 745 CODE:
512 RETVAL = e_new (sizeof (ev_check), cb); 746 RETVAL = e_new (sizeof (ev_check), cb, default_loop_sv);
513 ev_check_set (RETVAL); 747 ev_check_set (RETVAL);
514 if (!ix) START (check, RETVAL); 748 if (!ix) START (check, RETVAL);
515 OUTPUT: 749 OUTPUT:
516 RETVAL 750 RETVAL
517 751
752ev_fork *fork (SV *cb)
753 ALIAS:
754 fork_ns = 1
755 CODE:
756 RETVAL = e_new (sizeof (ev_fork), cb, default_loop_sv);
757 ev_fork_set (RETVAL);
758 if (!ix) START (fork, RETVAL);
759 OUTPUT:
760 RETVAL
761
762#if CLEANUP_ENABLED
763
764ev_cleanup *cleanup (SV *cb)
765 ALIAS:
766 cleanup_ns = 1
767 CODE:
768 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
769 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
770 ev_cleanup_set (RETVAL);
771 if (!ix) START (cleanup, RETVAL);
772 OUTPUT:
773 RETVAL
774
775#endif
776
518ev_child *child (int pid, SV *cb) 777ev_child *child (int pid, int trace, SV *cb)
519 ALIAS: 778 ALIAS:
520 child_ns = 1 779 child_ns = 1
521 CODE: 780 CODE:
781#if EV_CHILD_ENABLE
522 RETVAL = e_new (sizeof (ev_child), cb); 782 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
523 ev_child_set (RETVAL, pid); 783 ev_child_set (RETVAL, pid, trace);
524 if (!ix) START (child, RETVAL); 784 if (!ix) START (child, RETVAL);
785#else
786 croak ("EV::child watchers not supported on this platform");
787#endif
525 OUTPUT: 788 OUTPUT:
526 RETVAL 789 RETVAL
790
527 791
528ev_stat *stat (SV *path, NV interval, SV *cb) 792ev_stat *stat (SV *path, NV interval, SV *cb)
529 ALIAS: 793 ALIAS:
530 stat_ns = 1 794 stat_ns = 1
531 CODE: 795 CODE:
532 RETVAL = e_new (sizeof (ev_stat), cb); 796 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
533 RETVAL->fh = newSVsv (path); 797 e_fh (RETVAL) = newSVsv (path);
534 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 798 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
535 if (!ix) START (stat, RETVAL); 799 if (!ix) START (stat, RETVAL);
536 OUTPUT: 800 OUTPUT:
537 RETVAL 801 RETVAL
538 802
803#ifndef EV_NO_LOOPS
804
805ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
806 ALIAS:
807 embed_ns = 1
808 CODE:
809{
810 if (!(ev_backend (loop) & ev_embeddable_backends ()))
811 croak ("passed loop is not embeddable via EV::embed,");
812
813 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
814 e_fh (RETVAL) = newSVsv (ST (0));
815 ev_embed_set (RETVAL, loop);
816 if (!ix) START (embed, RETVAL);
817}
818 OUTPUT:
819 RETVAL
820
821#endif
822
823ev_async *async (SV *cb)
824 ALIAS:
825 async_ns = 1
826 CODE:
827 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
828 ev_async_set (RETVAL);
829 if (!ix) START (async, RETVAL);
830 OUTPUT:
831 RETVAL
832
539void once (SV *fh, int events, SV *timeout, SV *cb) 833void once (SV *fh, int events, SV *timeout, SV *cb)
540 CODE: 834 CODE:
541 ev_once ( 835 ev_once (
836 evapi.default_loop,
542 sv_fileno (fh), events, 837 s_fileno (fh, events & EV_WRITE), events,
543 SvOK (timeout) ? SvNV (timeout) : -1., 838 SvOK (timeout) ? SvNV (timeout) : -1.,
544 e_once_cb, 839 e_once_cb,
545 newSVsv (cb) 840 newSVsv (cb)
546 ); 841 );
547 842
551 846
552int ev_is_active (ev_watcher *w) 847int ev_is_active (ev_watcher *w)
553 848
554int ev_is_pending (ev_watcher *w) 849int ev_is_pending (ev_watcher *w)
555 850
851void ev_invoke (ev_watcher *w, int revents = EV_NONE)
852 C_ARGS: e_loop (w), w, revents
853
854int ev_clear_pending (ev_watcher *w)
855 C_ARGS: e_loop (w), w
856
857void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
858 C_ARGS: e_loop (w), w, revents
859
556int keepalive (ev_watcher *w, int new_value = 0) 860int keepalive (ev_watcher *w, int new_value = 0)
557 CODE: 861 CODE:
558{ 862{
559 RETVAL = w->flags & WFLAG_KEEPALIVE; 863 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
560 new_value = new_value ? WFLAG_KEEPALIVE : 0; 864 new_value = new_value ? WFLAG_KEEPALIVE : 0;
561 865
562 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 866 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
563 { 867 {
868 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
564 REF (w); 869 REF (w);
565 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value;
566 UNREF (w); 870 UNREF (w);
567 } 871 }
568} 872}
569 OUTPUT: 873 OUTPUT:
570 RETVAL 874 RETVAL
571 875
572SV *cb (ev_watcher *w, SV *new_cb = 0) 876SV *cb (ev_watcher *w, SV *new_cb = 0)
573 CODE: 877 CODE:
574{ 878{
575 RETVAL = newSVsv (w->cb_sv);
576
577 if (items > 1) 879 if (items > 1)
578 sv_setsv (w->cb_sv, new_cb); 880 {
881 new_cb = s_get_cv_croak (new_cb);
882 RETVAL = newRV_noinc (w->cb_sv);
883 w->cb_sv = SvREFCNT_inc (new_cb);
884 }
885 else
886 RETVAL = newRV_inc (w->cb_sv);
579} 887}
580 OUTPUT: 888 OUTPUT:
581 RETVAL 889 RETVAL
582 890
583SV *data (ev_watcher *w, SV *new_data = 0) 891SV *data (ev_watcher *w, SV *new_data = 0)
592 } 900 }
593} 901}
594 OUTPUT: 902 OUTPUT:
595 RETVAL 903 RETVAL
596 904
597void trigger (ev_watcher *w, int revents = EV_NONE) 905SV *loop (ev_watcher *w)
598 CODE: 906 CODE:
599 w->cb (w, revents); 907 RETVAL = newRV_inc (w->loop);
908 OUTPUT:
909 RETVAL
600 910
601int priority (ev_watcher *w, int new_priority = 0) 911int priority (ev_watcher *w, int new_priority = 0)
602 CODE: 912 CODE:
603{ 913{
604 RETVAL = w->priority; 914 RETVAL = w->priority;
605 915
606 if (items > 1) 916 if (items > 1)
607 { 917 {
608 int active = ev_is_active (w); 918 int active = ev_is_active (w);
609
610 if (new_priority < EV_MINPRI || new_priority > EV_MAXPRI)
611 croak ("watcher priority out of range, value must be between %d and %d, inclusive", EV_MINPRI, EV_MAXPRI);
612 919
613 if (active) 920 if (active)
614 { 921 {
615 /* grrr. */ 922 /* grrr. */
616 PUSHMARK (SP); 923 PUSHMARK (SP);
617 XPUSHs (ST (0)); 924 XPUSHs (ST (0));
925 PUTBACK;
618 call_method ("stop", G_DISCARD | G_VOID); 926 call_method ("stop", G_DISCARD | G_VOID);
619 } 927 }
620 928
621 ev_set_priority (w, new_priority); 929 ev_set_priority (w, new_priority);
622 930
623 if (active) 931 if (active)
624 { 932 {
625 PUSHMARK (SP); 933 PUSHMARK (SP);
626 XPUSHs (ST (0)); 934 XPUSHs (ST (0));
935 PUTBACK;
627 call_method ("start", G_DISCARD | G_VOID); 936 call_method ("start", G_DISCARD | G_VOID);
628 } 937 }
629 } 938 }
630} 939}
631 OUTPUT: 940 OUTPUT:
647 e_destroy (w); 956 e_destroy (w);
648 957
649void set (ev_io *w, SV *fh, int events) 958void set (ev_io *w, SV *fh, int events)
650 CODE: 959 CODE:
651{ 960{
652 int fd = sv_fileno (fh); 961 int fd = s_fileno (fh, events & EV_WRITE);
653 CHECK_FD (fh, fd); 962 CHECK_FD (fh, fd);
654 963
655 sv_setsv (w->fh, fh); 964 sv_setsv (e_fh (w), fh);
656 RESET (io, w, (w, fd, events)); 965 RESET (io, w, (w, fd, events));
657} 966}
658 967
659SV *fh (ev_io *w, SV *new_fh = 0) 968SV *fh (ev_io *w, SV *new_fh = 0)
660 CODE: 969 CODE:
661{ 970{
662 if (items > 1) 971 if (items > 1)
663 { 972 {
664 int fd = sv_fileno (new_fh); 973 int fd = s_fileno (new_fh, w->events & EV_WRITE);
665 CHECK_FD (new_fh, fd); 974 CHECK_FD (new_fh, fd);
666 975
667 RETVAL = w->fh; 976 RETVAL = e_fh (w);
668 w->fh = newSVsv (new_fh); 977 e_fh (w) = newSVsv (new_fh);
669 978
670 RESET (io, w, (w, fd, w->events)); 979 RESET (io, w, (w, fd, w->events));
671 } 980 }
672 else 981 else
673 RETVAL = newSVsv (w->fh); 982 RETVAL = newSVsv (e_fh (w));
674} 983}
675 OUTPUT: 984 OUTPUT:
676 RETVAL 985 RETVAL
677 986
678int events (ev_io *w, int new_events = EV_UNDEF) 987int events (ev_io *w, int new_events = EV_UNDEF)
688 997
689MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 998MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
690 999
691void ev_signal_start (ev_signal *w) 1000void ev_signal_start (ev_signal *w)
692 CODE: 1001 CODE:
693 START (signal, w); 1002 START_SIGNAL (w);
694 1003
695void ev_signal_stop (ev_signal *w) 1004void ev_signal_stop (ev_signal *w)
696 CODE: 1005 CODE:
697 STOP (signal, w); 1006 STOP (signal, w);
698 1007
702 e_destroy (w); 1011 e_destroy (w);
703 1012
704void set (ev_signal *w, SV *signal) 1013void set (ev_signal *w, SV *signal)
705 CODE: 1014 CODE:
706{ 1015{
707 Signal signum = sv_signum (signal); 1016 Signal signum = s_signum (signal);
708 CHECK_SIG (signal, signum); 1017 CHECK_SIG (signal, signum);
709 1018
710 RESET (signal, w, (w, signum)); 1019 RESET_SIGNAL (w, (w, signum));
711} 1020}
712 1021
713int signal (ev_signal *w, SV *new_signal = 0) 1022int signal (ev_signal *w, SV *new_signal = 0)
714 CODE: 1023 CODE:
715{ 1024{
716 RETVAL = w->signum; 1025 RETVAL = w->signum;
717 1026
718 if (items > 1) 1027 if (items > 1)
719 { 1028 {
720 Signal signum = sv_signum (new_signal); 1029 Signal signum = s_signum (new_signal);
721 CHECK_SIG (new_signal, signum); 1030 CHECK_SIG (new_signal, signum);
722 1031
723 RESET (signal, w, (w, signum)); 1032 RESET_SIGNAL (w, (w, signum));
724 } 1033 }
725} 1034}
726 OUTPUT: 1035 OUTPUT:
727 RETVAL 1036 RETVAL
728 1037
740 1049
741void ev_timer_again (ev_timer *w) 1050void ev_timer_again (ev_timer *w)
742 INIT: 1051 INIT:
743 CHECK_REPEAT (w->repeat); 1052 CHECK_REPEAT (w->repeat);
744 CODE: 1053 CODE:
745 REF (w);
746 ev_timer_again (w); 1054 ev_timer_again (e_loop (w), w);
747 UNREF (w); 1055 UNREF (w);
1056
1057NV ev_timer_remaining (ev_timer *w)
1058 C_ARGS: e_loop (w), w
748 1059
749void DESTROY (ev_timer *w) 1060void DESTROY (ev_timer *w)
750 CODE: 1061 CODE:
751 STOP (timer, w); 1062 STOP (timer, w);
752 e_destroy (w); 1063 e_destroy (w);
769 CODE: 1080 CODE:
770 STOP (periodic, w); 1081 STOP (periodic, w);
771 1082
772void ev_periodic_again (ev_periodic *w) 1083void ev_periodic_again (ev_periodic *w)
773 CODE: 1084 CODE:
774 REF (w);
775 ev_periodic_again (w); 1085 ev_periodic_again (e_loop (w), w);
776 UNREF (w); 1086 UNREF (w);
777 1087
778void DESTROY (ev_periodic *w) 1088void DESTROY (ev_periodic *w)
779 CODE: 1089 CODE:
780 STOP (periodic, w); 1090 STOP (periodic, w);
783void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1093void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
784 INIT: 1094 INIT:
785 CHECK_REPEAT (interval); 1095 CHECK_REPEAT (interval);
786 CODE: 1096 CODE:
787{ 1097{
788 SvREFCNT_dec (w->fh); 1098 SvREFCNT_dec (e_fh (w));
789 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1099 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
790 1100
791 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1101 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
792} 1102}
1103
1104NV at (ev_periodic *w)
1105 CODE:
1106 RETVAL = ev_periodic_at (w);
1107 OUTPUT:
1108 RETVAL
793 1109
794MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1110MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
795 1111
796void ev_idle_start (ev_idle *w) 1112void ev_idle_start (ev_idle *w)
797 CODE: 1113 CODE:
804void DESTROY (ev_idle *w) 1120void DESTROY (ev_idle *w)
805 CODE: 1121 CODE:
806 STOP (idle, w); 1122 STOP (idle, w);
807 e_destroy (w); 1123 e_destroy (w);
808 1124
809MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_check_ 1125MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_prepare_
810 1126
811void ev_prepare_start (ev_prepare *w) 1127void ev_prepare_start (ev_prepare *w)
812 CODE: 1128 CODE:
813 START (prepare, w); 1129 START (prepare, w);
814 1130
834void DESTROY (ev_check *w) 1150void DESTROY (ev_check *w)
835 CODE: 1151 CODE:
836 STOP (check, w); 1152 STOP (check, w);
837 e_destroy (w); 1153 e_destroy (w);
838 1154
1155MODULE = EV PACKAGE = EV::Fork PREFIX = ev_fork_
1156
1157void ev_fork_start (ev_fork *w)
1158 CODE:
1159 START (fork, w);
1160
1161void ev_fork_stop (ev_fork *w)
1162 CODE:
1163 STOP (fork, w);
1164
1165void DESTROY (ev_fork *w)
1166 CODE:
1167 STOP (fork, w);
1168 e_destroy (w);
1169
1170#if CLEANUP_ENABLED
1171
1172MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1173
1174void ev_cleanup_start (ev_cleanup *w)
1175 CODE:
1176 START (cleanup, w);
1177
1178void ev_cleanup_stop (ev_cleanup *w)
1179 CODE:
1180 STOP (cleanup, w);
1181
1182void DESTROY (ev_cleanup *w)
1183 CODE:
1184 STOP (cleanup, w);
1185 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1186 e_destroy (w);
1187
1188int keepalive (ev_watcher *w, SV *new_value = 0)
1189 CODE:
1190 RETVAL = 1;
1191 OUTPUT:
1192 RETVAL
1193
1194#endif
1195
839MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1196MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1197
1198#if EV_CHILD_ENABLE
840 1199
841void ev_child_start (ev_child *w) 1200void ev_child_start (ev_child *w)
842 CODE: 1201 CODE:
843 START (child, w); 1202 START (child, w);
844 1203
849void DESTROY (ev_child *w) 1208void DESTROY (ev_child *w)
850 CODE: 1209 CODE:
851 STOP (child, w); 1210 STOP (child, w);
852 e_destroy (w); 1211 e_destroy (w);
853 1212
854void set (ev_child *w, int pid) 1213void set (ev_child *w, int pid, int trace)
855 CODE: 1214 CODE:
856 RESET (child, w, (w, pid)); 1215 RESET (child, w, (w, pid, trace));
857 1216
858int pid (ev_child *w, int new_pid = 0)
859 CODE:
860{
861 RETVAL = w->pid;
862
863 if (items > 1)
864 RESET (child, w, (w, new_pid));
865}
866 OUTPUT:
867 RETVAL
868
869
870int rstatus (ev_child *w) 1217int pid (ev_child *w)
871 ALIAS: 1218 ALIAS:
872 rpid = 1 1219 rpid = 1
1220 rstatus = 2
873 CODE: 1221 CODE:
874 RETVAL = ix ? w->rpid : w->rstatus; 1222 RETVAL = ix == 0 ? w->pid
1223 : ix == 1 ? w->rpid
1224 : w->rstatus;
875 OUTPUT: 1225 OUTPUT:
876 RETVAL 1226 RETVAL
1227
1228#endif
877 1229
878MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1230MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
879 1231
880void ev_stat_start (ev_stat *w) 1232void ev_stat_start (ev_stat *w)
881 CODE: 1233 CODE:
891 e_destroy (w); 1243 e_destroy (w);
892 1244
893void set (ev_stat *w, SV *path, NV interval) 1245void set (ev_stat *w, SV *path, NV interval)
894 CODE: 1246 CODE:
895{ 1247{
896 sv_setsv (w->fh, path); 1248 sv_setsv (e_fh (w), path);
897 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1249 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
898} 1250}
899 1251
900SV *path (ev_stat *w, SV *new_path = 0) 1252SV *path (ev_stat *w, SV *new_path = 0)
901 CODE: 1253 CODE:
902{ 1254{
903 RETVAL = SvREFCNT_inc (w->fh); 1255 RETVAL = SvREFCNT_inc (e_fh (w));
904 1256
905 if (items > 1) 1257 if (items > 1)
906 { 1258 {
907 SvREFCNT_dec (w->fh); 1259 SvREFCNT_dec (e_fh (w));
908 w->fh = newSVsv (new_path); 1260 e_fh (w) = newSVsv (new_path);
909 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1261 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
910 } 1262 }
911} 1263}
912 OUTPUT: 1264 OUTPUT:
913 RETVAL 1265 RETVAL
914 1266
916 CODE: 1268 CODE:
917{ 1269{
918 RETVAL = w->interval; 1270 RETVAL = w->interval;
919 1271
920 if (items > 1) 1272 if (items > 1)
921 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1273 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
922} 1274}
923 OUTPUT: 1275 OUTPUT:
924 RETVAL 1276 RETVAL
925 1277
926void prev (ev_stat *w) 1278void prev (ev_stat *w)
930 PPCODE: 1282 PPCODE:
931{ 1283{
932 ev_statdata *s = ix ? &w->attr : &w->prev; 1284 ev_statdata *s = ix ? &w->attr : &w->prev;
933 1285
934 if (ix == 1) 1286 if (ix == 1)
935 ev_stat_stat (w); 1287 ev_stat_stat (e_loop (w), w);
936 else if (!s->st_nlink) 1288 else if (!s->st_nlink)
937 errno = ENOENT; 1289 errno = ENOENT;
938 1290
939 PL_statcache.st_dev = s->st_nlink; 1291 PL_statcache.st_dev = s->st_nlink;
940 PL_statcache.st_ino = s->st_ino; 1292 PL_statcache.st_ino = s->st_ino;
967 PUSHs (sv_2mortal (newSVuv (4096))); 1319 PUSHs (sv_2mortal (newSVuv (4096)));
968 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096)))); 1320 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096))));
969 } 1321 }
970} 1322}
971 1323
1324MODULE = EV PACKAGE = EV::Embed PREFIX = ev_embed_
1325
1326void ev_embed_start (ev_embed *w)
1327 CODE:
1328 START (embed, w);
1329
1330void ev_embed_stop (ev_embed *w)
1331 CODE:
1332 STOP (embed, w);
1333
1334void DESTROY (ev_embed *w)
1335 CODE:
1336 STOP (embed, w);
1337 e_destroy (w);
1338
1339void set (ev_embed *w, struct ev_loop *loop)
1340 CODE:
1341{
1342 sv_setsv (e_fh (w), ST (1));
1343 RESET (embed, w, (w, loop));
1344}
1345
1346SV *other (ev_embed *w)
1347 CODE:
1348 RETVAL = newSVsv (e_fh (w));
1349 OUTPUT:
1350 RETVAL
1351
1352void ev_embed_sweep (ev_embed *w)
1353 C_ARGS: e_loop (w), w
1354
1355MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1356
1357void ev_async_start (ev_async *w)
1358 CODE:
1359 START (async, w);
1360
1361void ev_async_stop (ev_async *w)
1362 CODE:
1363 STOP (async, w);
1364
1365void DESTROY (ev_async *w)
1366 CODE:
1367 STOP (async, w);
1368 e_destroy (w);
1369
1370void ev_async_send (ev_async *w)
1371 C_ARGS: e_loop (w), w
1372
1373SV *ev_async_async_pending (ev_async *w)
1374 CODE:
1375 RETVAL = boolSV (ev_async_pending (w));
1376 OUTPUT:
1377 RETVAL
1378
1379#ifndef EV_NO_LOOPS
1380
1381MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1382
1383SV *new (SV *klass, unsigned int flags = 0)
1384 CODE:
1385{
1386 struct ev_loop *loop = ev_loop_new (flags);
1387
1388 if (!loop)
1389 XSRETURN_UNDEF;
1390
1391 RETVAL = sv_bless (newRV_noinc (newSViv (PTR2IV (loop))), stash_loop);
1392}
1393 OUTPUT:
1394 RETVAL
1395
1396void DESTROY (struct ev_loop *loop)
1397 CODE:
1398 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1399 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1400 if (loop != evapi.default_loop)
1401 ev_loop_destroy (loop);
1402
1403void ev_loop_fork (struct ev_loop *loop)
1404
1405NV ev_now (struct ev_loop *loop)
1406
1407void ev_now_update (struct ev_loop *loop)
1408
1409void ev_suspend (struct ev_loop *loop)
1410
1411void ev_resume (struct ev_loop *loop)
1412
1413void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1414
1415void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1416
1417unsigned int ev_backend (struct ev_loop *loop)
1418
1419void ev_verify (struct ev_loop *loop)
1420 ALIAS:
1421 loop_verify = 1
1422
1423unsigned int ev_iteration (struct ev_loop *loop)
1424 ALIAS:
1425 loop_count = 1
1426
1427unsigned int ev_depth (struct ev_loop *loop)
1428 ALIAS:
1429 loop_depth = 1
1430
1431int ev_run (struct ev_loop *loop, int flags = 0)
1432 ALIAS:
1433 loop = 1
1434
1435void ev_break (struct ev_loop *loop, int how = 1)
1436 ALIAS:
1437 unloop = 1
1438
1439void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1440
1441unsigned int ev_pending_count (struct ev_loop *loop)
1442
1443void ev_invoke_pending (struct ev_loop *loop)
1444
972#if 0 1445#if 0
973 1446
974MODULE = EV PACKAGE = EV::HTTP PREFIX = evhttp_ 1447void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
975 1448 CODE:
976BOOT:
977{ 1449{
978 HV *stash = gv_stashpv ("EV::HTTP", 1); 1450 Signal signum = s_signum (signal);
1451 CHECK_SIG (signal, signum);
979 1452
980 static const struct { 1453 ev_feed_signal_event (loop, signum);
981 const char *name;
982 IV iv;
983 } *civ, const_iv[] = {
984# define const_iv(pfx, name) { # name, (IV) pfx ## name },
985 const_iv (HTTP_, OK)
986 const_iv (HTTP_, NOCONTENT)
987 const_iv (HTTP_, MOVEPERM)
988 const_iv (HTTP_, MOVETEMP)
989 const_iv (HTTP_, NOTMODIFIED)
990 const_iv (HTTP_, BADREQUEST)
991 const_iv (HTTP_, NOTFOUND)
992 const_iv (HTTP_, SERVUNAVAIL)
993 const_iv (EVHTTP_, REQ_OWN_CONNECTION)
994 const_iv (EVHTTP_, PROXY_REQUEST)
995 const_iv (EVHTTP_, REQ_GET)
996 const_iv (EVHTTP_, REQ_POST)
997 const_iv (EVHTTP_, REQ_HEAD)
998 const_iv (EVHTTP_, REQUEST)
999 const_iv (EVHTTP_, RESPONSE)
1000 };
1001
1002 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; )
1003 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv));
1004} 1454}
1005
1006MODULE = EV PACKAGE = EV::HTTP::Request PREFIX = evhttp_request_
1007
1008#HttpRequest new (SV *klass, SV *cb)
1009
1010#void DESTROY (struct evhttp_request *req);
1011 1455
1012#endif 1456#endif
1013 1457
1458ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1459 ALIAS:
1460 io_ns = 1
1461 CODE:
1462{
1463 int fd = s_fileno (fh, events & EV_WRITE);
1464 CHECK_FD (fh, fd);
1014 1465
1466 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1467 e_fh (RETVAL) = newSVsv (fh);
1468 ev_io_set (RETVAL, fd, events);
1469 if (!ix) START (io, RETVAL);
1470}
1471 OUTPUT:
1472 RETVAL
1015 1473
1474ev_timer *timer (struct ev_loop *loop, NV after, NV repeat, SV *cb)
1475 ALIAS:
1476 timer_ns = 1
1477 INIT:
1478 CHECK_REPEAT (repeat);
1479 CODE:
1480 RETVAL = e_new (sizeof (ev_timer), cb, ST (0));
1481 ev_timer_set (RETVAL, after, repeat);
1482 if (!ix) START (timer, RETVAL);
1483 OUTPUT:
1484 RETVAL
1016 1485
1486SV *periodic (struct ev_loop *loop, NV at, NV interval, SV *reschedule_cb, SV *cb)
1487 ALIAS:
1488 periodic_ns = 1
1489 INIT:
1490 CHECK_REPEAT (interval);
1491 CODE:
1492{
1493 ev_periodic *w;
1494 w = e_new (sizeof (ev_periodic), cb, ST (0));
1495 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1496 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1497 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1498 if (!ix) START (periodic, w);
1499}
1500 OUTPUT:
1501 RETVAL
1017 1502
1503ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1504 ALIAS:
1505 signal_ns = 1
1506 CODE:
1507{
1508 Signal signum = s_signum (signal);
1509 CHECK_SIG (signal, signum);
1018 1510
1511 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1512 ev_signal_set (RETVAL, signum);
1513 if (!ix) START_SIGNAL (RETVAL);
1514}
1515 OUTPUT:
1516 RETVAL
1019 1517
1518ev_idle *idle (struct ev_loop *loop, SV *cb)
1519 ALIAS:
1520 idle_ns = 1
1521 CODE:
1522 RETVAL = e_new (sizeof (ev_idle), cb, ST (0));
1523 ev_idle_set (RETVAL);
1524 if (!ix) START (idle, RETVAL);
1525 OUTPUT:
1526 RETVAL
1020 1527
1528ev_prepare *prepare (struct ev_loop *loop, SV *cb)
1529 ALIAS:
1530 prepare_ns = 1
1531 CODE:
1532 RETVAL = e_new (sizeof (ev_prepare), cb, ST (0));
1533 ev_prepare_set (RETVAL);
1534 if (!ix) START (prepare, RETVAL);
1535 OUTPUT:
1536 RETVAL
1537
1538ev_check *check (struct ev_loop *loop, SV *cb)
1539 ALIAS:
1540 check_ns = 1
1541 CODE:
1542 RETVAL = e_new (sizeof (ev_check), cb, ST (0));
1543 ev_check_set (RETVAL);
1544 if (!ix) START (check, RETVAL);
1545 OUTPUT:
1546 RETVAL
1547
1548ev_fork *fork (struct ev_loop *loop, SV *cb)
1549 ALIAS:
1550 fork_ns = 1
1551 CODE:
1552 RETVAL = e_new (sizeof (ev_fork), cb, ST (0));
1553 ev_fork_set (RETVAL);
1554 if (!ix) START (fork, RETVAL);
1555 OUTPUT:
1556 RETVAL
1557
1558#if CLEANUP_ENABLED
1559
1560ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1561 ALIAS:
1562 cleanup_ns = 1
1563 CODE:
1564 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1565 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1566 ev_cleanup_set (RETVAL);
1567 if (!ix) START (cleanup, RETVAL);
1568 OUTPUT:
1569 RETVAL
1570
1571#endif
1572
1573ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1574 ALIAS:
1575 child_ns = 1
1576 CODE:
1577#if EV_CHILD_ENABLE
1578 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1579 ev_child_set (RETVAL, pid, trace);
1580 if (!ix) START (child, RETVAL);
1581#else
1582 croak ("EV::child watchers not supported on this platform");
1583#endif
1584 OUTPUT:
1585 RETVAL
1586
1587ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1588 ALIAS:
1589 stat_ns = 1
1590 CODE:
1591 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1592 e_fh (RETVAL) = newSVsv (path);
1593 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1594 if (!ix) START (stat, RETVAL);
1595 OUTPUT:
1596 RETVAL
1597
1598ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1599 ALIAS:
1600 embed_ns = 1
1601 CODE:
1602{
1603 if (!(ev_backend (other) & ev_embeddable_backends ()))
1604 croak ("passed loop is not embeddable via EV::embed,");
1605
1606 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1607 e_fh (RETVAL) = newSVsv (ST (1));
1608 ev_embed_set (RETVAL, other);
1609 if (!ix) START (embed, RETVAL);
1610}
1611 OUTPUT:
1612 RETVAL
1613
1614ev_async *async (struct ev_loop *loop, SV *cb)
1615 ALIAS:
1616 async_ns = 1
1617 CODE:
1618 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1619 ev_async_set (RETVAL);
1620 if (!ix) START (async, RETVAL);
1621 OUTPUT:
1622 RETVAL
1623
1624void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1625 CODE:
1626 ev_once (
1627 loop,
1628 s_fileno (fh, events & EV_WRITE), events,
1629 SvOK (timeout) ? SvNV (timeout) : -1.,
1630 e_once_cb,
1631 newSVsv (cb)
1632 );
1633
1634#endif
1635

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines