ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.100 by root, Fri Dec 21 09:43:57 2007 UTC vs.
Revision 1.177 by root, Wed Jan 22 02:10:13 2020 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/ 5/* fix perl api breakage */
6#ifndef WIN32
7# undef signal
8# undef sigaction
9#endif
6 10
11#include "schmorp.h"
12
13/* old API compatibility */
14static int
15sv_fileno (SV *fh)
16{
17 return s_fileno (fh, 0);
18}
19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26# include <assert.h>
27#endif
28
29#define EV_STANDALONE 1
7#define EV_PROTOTYPES 1 30#define EV_PROTOTYPES 1
8#define EV_H <ev.h> 31#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
32#define EV_USE_FLOOR 1
33#define EV_API_STATIC
34#define EV_H "../libev/ev.h"
35#define EV_CONFIG_H error
9#include "EV/EVAPI.h" 36#include "EV/EVAPI.h"
10
11/* fix perl api breakage */
12#undef signal
13#undef sigaction
14 37
15#define EV_SELECT_IS_WINSOCKET 0 38#define EV_SELECT_IS_WINSOCKET 0
16#ifdef _WIN32 39#ifdef _WIN32
17# define EV_SELECT_USE_FD_SET 0 40# define EV_SELECT_USE_FD_SET 0
18# define NFDBITS PERL_NFDBITS 41# define NFDBITS PERL_NFDBITS
19# define fd_mask Perl_fd_mask 42# define fd_mask Perl_fd_mask
20#endif 43#endif
21/* due to bugs in OS X we have to use libev/ explicitly here */ 44/* due to bugs in OS X we have to use libev/ explicitly here */
22#include "libev/ev.c" 45#include "libev/ev.c"
23 46
24#ifndef _WIN32 47#if !defined _WIN32 && !defined __minix && !EV_NO_ATFORK
25# include <pthread.h> 48# include <pthread.h>
26#endif 49#endif
27 50
28#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX ((w)->loop)) 51#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
52#define e_flags(w) ((ev_watcher *)(w))->e_flags
53#define e_self(w) ((ev_watcher *)(w))->self
54#define e_fh(w) ((ev_watcher *)(w))->fh
55#define e_data(w) ((ev_watcher *)(w))->data
29 56
30#define WFLAG_KEEPALIVE 1 57#define WFLAG_KEEPALIVE 1
58#define WFLAG_UNREFED 2 /* has been unref'ed */
31 59
32#define UNREF(w) \ 60#define UNREF(w) \
33 if (!((w)->flags & WFLAG_KEEPALIVE) \ 61 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
34 && !ev_is_active (w)) \ 62 && ev_is_active (w)) \
63 { \
35 ev_unref (e_loop (w)); 64 ev_unref (e_loop (w)); \
65 e_flags (w) |= WFLAG_UNREFED; \
66 }
36 67
37#define REF(w) \ 68#define REF(w) \
38 if (!((w)->flags & WFLAG_KEEPALIVE) \ 69 if (e_flags (w) & WFLAG_UNREFED) \
39 && ev_is_active (w)) \ 70 { \
71 e_flags (w) &= ~WFLAG_UNREFED; \
40 ev_ref (e_loop (w)); 72 ev_ref (e_loop (w)); \
73 }
41 74
42#define START(type,w) \ 75#define START(type,w) \
43 do { \ 76 do { \
77 ev_ ## type ## _start (e_loop (w), w); \
44 UNREF (w); \ 78 UNREF (w); \
45 ev_ ## type ## _start (e_loop (w), w); \
46 } while (0) 79 } while (0)
47 80
48#define STOP(type,w) \ 81#define STOP(type,w) \
49 do { \ 82 do { \
50 REF (w); \ 83 REF (w); \
51 ev_ ## type ## _stop (e_loop (w), w); \ 84 ev_ ## type ## _stop (e_loop (w), w); \
52 } while (0) 85 } while (0)
53 86
54#define RESET(type,w,seta) \ 87#define RESET(type,w,seta) \
55 do { \ 88 do { \
56 int active = ev_is_active (w); \ 89 int active = ev_is_active (w); \
57 if (active) STOP (type, w); \ 90 if (active) STOP (type, w); \
58 ev_ ## type ## _set seta; \ 91 ev_ ## type ## _set seta; \
59 if (active) START (type, w); \ 92 if (active) START (type, w); \
60 } while (0) 93 } while (0)
61 94
62typedef int Signal; 95typedef int Signal;
96
97/* horrible... */
98#define CHECK_SIGNAL_CAN_START(w) \
99 do { \
100 /* dive into the internals of libev to avoid aborting in libev */ \
101 if (signals [(w)->signum - 1].loop \
102 && signals [(w)->signum - 1].loop != e_loop (w)) \
103 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
104 } while (0)
105
106#define START_SIGNAL(w) \
107 do { \
108 CHECK_SIGNAL_CAN_START (w); \
109 START (signal, w); \
110 } while (0) \
111
112#define RESET_SIGNAL(w,seta) \
113 do { \
114 int active = ev_is_active (w); \
115 if (active) STOP (signal, w); \
116 ev_ ## signal ## _set seta; \
117 if (active) START_SIGNAL (w); \
118 } while (0)
63 119
64static SV *default_loop_sv; 120static SV *default_loop_sv;
65 121
66static struct EVAPI evapi; 122static struct EVAPI evapi;
67 123
76 *stash_stat, 132 *stash_stat,
77 *stash_idle, 133 *stash_idle,
78 *stash_prepare, 134 *stash_prepare,
79 *stash_check, 135 *stash_check,
80 *stash_embed, 136 *stash_embed,
81 *stash_fork; 137 *stash_fork,
82 138 *stash_cleanup,
83#ifndef SIG_SIZE 139 *stash_async;
84/* kudos to Slaven Rezic for the idea */
85static char sig_size [] = { SIG_NUM };
86# define SIG_SIZE (sizeof (sig_size) + 1)
87#endif
88
89static Signal
90sv_signum (SV *sig)
91{
92 Signal signum;
93
94 SvGETMAGIC (sig);
95
96 for (signum = 1; signum < SIG_SIZE; ++signum)
97 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
98 return signum;
99
100 signum = SvIV (sig);
101
102 if (signum > 0 && signum < SIG_SIZE)
103 return signum;
104
105 return -1;
106}
107 140
108///////////////////////////////////////////////////////////////////////////// 141/////////////////////////////////////////////////////////////////////////////
109// Event 142// Event
110 143
111static void e_cb (EV_P_ ev_watcher *w, int revents); 144static void e_cb (EV_P_ ev_watcher *w, int revents);
112 145
113static int
114sv_fileno (SV *fh)
115{
116 SvGETMAGIC (fh);
117
118 if (SvROK (fh))
119 fh = SvRV (fh);
120
121 if (SvTYPE (fh) == SVt_PVGV)
122 return PerlIO_fileno (IoIFP (sv_2io (fh)));
123
124 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
125 return SvIV (fh);
126
127 return -1;
128}
129
130static void * 146static void *
131e_new (int size, SV *cb_sv, SV *loop) 147e_new (int size, SV *cb_sv, SV *loop)
132{ 148{
149 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
133 ev_watcher *w; 150 ev_watcher *w;
134 SV *self = NEWSV (0, size); 151 SV *self = NEWSV (0, size);
135 SvPOK_only (self); 152 SvPOK_only (self);
136 SvCUR_set (self, size); 153 SvCUR_set (self, size);
137 154
138 w = (ev_watcher *)SvPVX (self); 155 w = (ev_watcher *)SvPVX (self);
139 156
140 ev_init (w, e_cb); 157 ev_init (w, cv ? e_cb : 0);
141 158
142 w->loop = SvREFCNT_inc (SvRV (loop)); 159 w->loop = SvREFCNT_inc (SvRV (loop));
143 w->flags = WFLAG_KEEPALIVE; 160 w->e_flags = WFLAG_KEEPALIVE;
144 w->data = 0; 161 w->data = 0;
145 w->fh = 0; 162 w->fh = 0;
146 w->cb_sv = SvTEMP (cb_sv) && SvREFCNT (cb_sv) == 1 ? SvREFCNT_inc (cb_sv) : newSVsv (cb_sv); 163 w->cb_sv = SvREFCNT_inc (cv);
147 w->self = self; 164 w->self = self;
148 165
149 return (void *)w; 166 return (void *)w;
150} 167}
151 168
152static void 169static void
175 } 192 }
176 193
177 return rv; 194 return rv;
178} 195}
179 196
180static SV *sv_events_cache; 197static SV *sv_self_cache, *sv_events_cache;
181 198
182static void 199static void
183e_cb (EV_P_ ev_watcher *w, int revents) 200e_cb (EV_P_ ev_watcher *w, int revents)
184{ 201{
185 dSP; 202 dSP;
186 I32 mark = SP - PL_stack_base; 203 I32 mark = SP - PL_stack_base;
187 SV *sv_self, *sv_events; 204 SV *sv_self, *sv_events;
188 205
206 /* libev might have stopped the watcher */
207 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
208 && !ev_is_active (w))
209 REF (w);
210
211 if (ecb_expect_true (sv_self_cache))
212 {
213 sv_self = sv_self_cache; sv_self_cache = 0;
214 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
215 }
216 else
217 {
189 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 218 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
219 SvREADONLY_on (sv_self);
220 }
221
222 if (ecb_expect_true (sv_events_cache))
223 {
224 sv_events = sv_events_cache; sv_events_cache = 0;
225 SvIV_set (sv_events, revents);
226 SvIOK_only (sv_events);
227 }
228 else
229 {
230 sv_events = newSViv (revents);
231 SvREADONLY_on (sv_events);
232 }
233
234 PUSHMARK (SP);
235 EXTEND (SP, 2);
236 PUSHs (sv_self);
237 PUSHs (sv_events);
238
239 PUTBACK;
240 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
241
242 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
243 SvREFCNT_dec (sv_self);
244 else
245 {
246 SvREFCNT_dec (SvRV (sv_self));
247 SvRV_set (sv_self, &PL_sv_undef);
248 sv_self_cache = sv_self;
249 }
250
251 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
252 SvREFCNT_dec (sv_events);
253 else
254 sv_events_cache = sv_events;
255
256 if (ecb_expect_false (SvTRUE (ERRSV)))
257 {
258 SPAGAIN;
259 PUSHMARK (SP);
260 PUTBACK;
261 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
262 }
263
264 SP = PL_stack_base + mark;
265 PUTBACK;
266}
267
268static void
269e_once_cb (int revents, void *arg)
270{
271 dSP;
272 I32 mark = SP - PL_stack_base;
273 SV *sv_events;
190 274
191 if (sv_events_cache) 275 if (sv_events_cache)
192 { 276 {
193 sv_events = sv_events_cache; sv_events_cache = 0; 277 sv_events = sv_events_cache; sv_events_cache = 0;
194 SvIV_set (sv_events, revents); 278 SvIV_set (sv_events, revents);
195 } 279 }
196 else 280 else
197 sv_events = newSViv (revents); 281 sv_events = newSViv (revents);
198 282
199 PUSHMARK (SP); 283 PUSHMARK (SP);
200 EXTEND (SP, 2);
201 PUSHs (sv_self);
202 PUSHs (sv_events); 284 XPUSHs (sv_events);
203 285
204 PUTBACK; 286 PUTBACK;
205 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 287 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
206 288
207 SvREFCNT_dec (sv_self); 289 SvREFCNT_dec ((SV *)arg);
208 290
209 if (sv_events_cache) 291 if (sv_events_cache)
210 SvREFCNT_dec (sv_events); 292 SvREFCNT_dec (sv_events);
211 else 293 else
212 sv_events_cache = sv_events; 294 sv_events_cache = sv_events;
221 303
222 SP = PL_stack_base + mark; 304 SP = PL_stack_base + mark;
223 PUTBACK; 305 PUTBACK;
224} 306}
225 307
226static void
227e_once_cb (int revents, void *arg)
228{
229 dSP;
230 I32 mark = SP - PL_stack_base;
231 SV *sv_events;
232
233 if (sv_events_cache)
234 {
235 sv_events = sv_events_cache; sv_events_cache = 0;
236 SvIV_set (sv_events, revents);
237 }
238 else
239 sv_events = newSViv (revents);
240
241 PUSHMARK (SP);
242 XPUSHs (sv_events);
243
244 PUTBACK;
245 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
246
247 SvREFCNT_dec ((SV *)arg);
248
249 if (sv_events_cache)
250 SvREFCNT_dec (sv_events);
251 else
252 sv_events_cache = sv_events;
253
254 if (SvTRUE (ERRSV))
255 {
256 SPAGAIN;
257 PUSHMARK (SP);
258 PUTBACK;
259 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
260 }
261
262 SP = PL_stack_base + mark;
263 PUTBACK;
264}
265
266static ev_tstamp 308static ev_tstamp
267e_periodic_cb (ev_periodic *w, ev_tstamp now) 309e_periodic_cb (ev_periodic *w, ev_tstamp now)
268{ 310{
269 ev_tstamp retval; 311 ev_tstamp retval;
270 int count; 312 int count;
273 ENTER; 315 ENTER;
274 SAVETMPS; 316 SAVETMPS;
275 317
276 PUSHMARK (SP); 318 PUSHMARK (SP);
277 EXTEND (SP, 2); 319 EXTEND (SP, 2);
278 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 320 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
279 PUSHs (newSVnv (now)); 321 PUSHs (newSVnv (now));
280 322
281 PUTBACK; 323 PUTBACK;
282 count = call_sv (w->fh, G_SCALAR | G_EVAL); 324 count = call_sv (w->fh, G_SCALAR | G_EVAL);
283 SPAGAIN; 325 SPAGAIN;
313 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 355 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
314 356
315#define CHECK_SIG(sv,num) if ((num) < 0) \ 357#define CHECK_SIG(sv,num) if ((num) < 0) \
316 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 358 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
317 359
360static void
361default_fork (void)
362{
363 ev_loop_fork (EV_DEFAULT_UC);
364}
365
318///////////////////////////////////////////////////////////////////////////// 366/////////////////////////////////////////////////////////////////////////////
319// XS interface functions 367// XS interface functions
320 368
321MODULE = EV PACKAGE = EV PREFIX = ev_ 369MODULE = EV PACKAGE = EV PREFIX = ev_
322 370
334 const_iv (EV_, MINPRI) 382 const_iv (EV_, MINPRI)
335 const_iv (EV_, MAXPRI) 383 const_iv (EV_, MAXPRI)
336 384
337 const_iv (EV_, UNDEF) 385 const_iv (EV_, UNDEF)
338 const_iv (EV_, NONE) 386 const_iv (EV_, NONE)
339 const_iv (EV_, TIMEOUT)
340 const_iv (EV_, READ) 387 const_iv (EV_, READ)
341 const_iv (EV_, WRITE) 388 const_iv (EV_, WRITE)
389 const_iv (EV_, IO)
390 const_iv (EV_, TIMER)
391 const_iv (EV_, PERIODIC)
342 const_iv (EV_, SIGNAL) 392 const_iv (EV_, SIGNAL)
393 const_iv (EV_, CHILD)
394 const_iv (EV_, STAT)
343 const_iv (EV_, IDLE) 395 const_iv (EV_, IDLE)
396 const_iv (EV_, PREPARE)
397 /*const_iv (EV_, CHECK) needs special tretament */
398 const_iv (EV_, EMBED)
344 const_iv (EV_, CHECK) 399 const_iv (EV_, FORK)
400 const_iv (EV_, CLEANUP)
401 const_iv (EV_, ASYNC)
402 const_iv (EV_, CUSTOM)
345 const_iv (EV_, ERROR) 403 const_iv (EV_, ERROR)
346 404
347 const_iv (EV, LOOP_ONESHOT) 405 const_iv (EV, RUN_NOWAIT)
348 const_iv (EV, LOOP_NONBLOCK)
349 const_iv (EV, UNLOOP_ONE) 406 const_iv (EV, RUN_ONCE)
407
408 const_iv (EV, BREAK_CANCEL)
409 const_iv (EV, BREAK_ONE)
350 const_iv (EV, UNLOOP_ALL) 410 const_iv (EV, BREAK_ALL)
351
352 const_iv (EV, BACKEND_SELECT) 411 const_iv (EV, BACKEND_SELECT)
353 const_iv (EV, BACKEND_POLL) 412 const_iv (EV, BACKEND_POLL)
354 const_iv (EV, BACKEND_EPOLL) 413 const_iv (EV, BACKEND_EPOLL)
355 const_iv (EV, BACKEND_KQUEUE) 414 const_iv (EV, BACKEND_KQUEUE)
356 const_iv (EV, BACKEND_DEVPOLL) 415 const_iv (EV, BACKEND_DEVPOLL)
357 const_iv (EV, BACKEND_PORT) 416 const_iv (EV, BACKEND_PORT)
417 const_iv (EV, BACKEND_ALL)
418 const_iv (EV, BACKEND_MASK)
358 const_iv (EV, FLAG_AUTO) 419 const_iv (EV, FLAG_AUTO)
420 const_iv (EV, FLAG_FORKCHECK)
421 const_iv (EV, FLAG_SIGNALFD)
422 const_iv (EV, FLAG_NOSIGMASK)
359 const_iv (EV, FLAG_NOENV) 423 const_iv (EV, FLAG_NOENV)
360 const_iv (EV, FLAG_FORKCHECK) 424 const_iv (EV, FLAG_NOINOTIFY)
425
426 const_iv (EV_, VERSION_MAJOR)
427 const_iv (EV_, VERSION_MINOR)
428#if EV_COMPAT3
429 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
430 const_iv (EV_, TIMEOUT)
431 const_iv (EV, LOOP_NONBLOCK)
432 const_iv (EV, LOOP_ONESHOT)
433 const_iv (EV, UNLOOP_CANCEL)
434 const_iv (EV, UNLOOP_ONE)
435 const_iv (EV, UNLOOP_ALL)
436#endif
361 }; 437 };
362 438
363 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 439 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
364 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 440 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
441
442 /* since this clashes with perl CHECK blocks, */
443 /* but we are interested in constants, */
444 /* and not blocks, we treat CHECK specially. */
445 {
446 /* the local $^W = 0 takes care of the warning */
447 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
448 /* now we need to re-set the gv, in case it was hijacked */
449 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
450 }
365 451
366 stash_loop = gv_stashpv ("EV::Loop" , 1); 452 stash_loop = gv_stashpv ("EV::Loop" , 1);
367 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 453 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
368 stash_io = gv_stashpv ("EV::IO" , 1); 454 stash_io = gv_stashpv ("EV::IO" , 1);
369 stash_timer = gv_stashpv ("EV::Timer" , 1); 455 stash_timer = gv_stashpv ("EV::Timer" , 1);
374 stash_check = gv_stashpv ("EV::Check" , 1); 460 stash_check = gv_stashpv ("EV::Check" , 1);
375 stash_child = gv_stashpv ("EV::Child" , 1); 461 stash_child = gv_stashpv ("EV::Child" , 1);
376 stash_embed = gv_stashpv ("EV::Embed" , 1); 462 stash_embed = gv_stashpv ("EV::Embed" , 1);
377 stash_stat = gv_stashpv ("EV::Stat" , 1); 463 stash_stat = gv_stashpv ("EV::Stat" , 1);
378 stash_fork = gv_stashpv ("EV::Fork" , 1); 464 stash_fork = gv_stashpv ("EV::Fork" , 1);
465 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
466 stash_async = gv_stashpv ("EV::Async" , 1);
379 467
380 { 468 {
381 SV *sv = perl_get_sv ("EV::API", TRUE); 469 SV *sv = perl_get_sv ("EV::API", TRUE);
382 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 470 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
383 471
384 /* the poor man's shared library emulator */ 472 /* the poor man's shared library emulator */
385 evapi.ver = EV_API_VERSION; 473 evapi.ver = EV_API_VERSION;
386 evapi.rev = EV_API_REVISION; 474 evapi.rev = EV_API_REVISION;
387 evapi.sv_fileno = sv_fileno; 475 evapi.sv_fileno = sv_fileno;
388 evapi.sv_signum = sv_signum; 476 evapi.sv_signum = s_signum;
389 evapi.supported_backends = ev_supported_backends (); 477 evapi.supported_backends = ev_supported_backends ();
390 evapi.recommended_backends = ev_recommended_backends (); 478 evapi.recommended_backends = ev_recommended_backends ();
391 evapi.embeddable_backends = ev_embeddable_backends (); 479 evapi.embeddable_backends = ev_embeddable_backends ();
392 evapi.time = ev_time; 480 evapi.time_ = ev_time;
481 evapi.sleep_ = ev_sleep;
393 evapi.loop_new = ev_loop_new; 482 evapi.loop_new = ev_loop_new;
394 evapi.loop_destroy = ev_loop_destroy; 483 evapi.loop_destroy = ev_loop_destroy;
395 evapi.loop_fork = ev_loop_fork; 484 evapi.loop_fork = ev_loop_fork;
396 evapi.loop_count = ev_loop_count; 485 evapi.iteration = ev_iteration;
486 evapi.depth = ev_depth;
487 evapi.set_userdata = ev_set_userdata;
488 evapi.userdata = ev_userdata;
397 evapi.now = ev_now; 489 evapi.now = ev_now;
490 evapi.now_update = ev_now_update;
491 evapi.suspend = ev_suspend;
492 evapi.resume = ev_resume;
398 evapi.backend = ev_backend; 493 evapi.backend = ev_backend;
399 evapi.unloop = ev_unloop; 494 evapi.break_ = ev_break;
495 evapi.invoke_pending = ev_invoke_pending;
496 evapi.pending_count = ev_pending_count;
497 evapi.verify = ev_verify;
498 evapi.set_loop_release_cb = ev_set_loop_release_cb;
499 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
400 evapi.ref = ev_ref; 500 evapi.ref = ev_ref;
401 evapi.unref = ev_unref; 501 evapi.unref = ev_unref;
402 evapi.loop = ev_loop; 502 evapi.run = ev_run;
403 evapi.once = ev_once; 503 evapi.once = ev_once;
404 evapi.io_start = ev_io_start; 504 evapi.io_start = ev_io_start;
405 evapi.io_stop = ev_io_stop; 505 evapi.io_stop = ev_io_stop;
406 evapi.timer_start = ev_timer_start; 506 evapi.timer_start = ev_timer_start;
407 evapi.timer_stop = ev_timer_stop; 507 evapi.timer_stop = ev_timer_stop;
408 evapi.timer_again = ev_timer_again; 508 evapi.timer_again = ev_timer_again;
509 evapi.timer_remaining = ev_timer_remaining;
409 evapi.periodic_start = ev_periodic_start; 510 evapi.periodic_start = ev_periodic_start;
410 evapi.periodic_stop = ev_periodic_stop; 511 evapi.periodic_stop = ev_periodic_stop;
411 evapi.signal_start = ev_signal_start; 512 evapi.signal_start = ev_signal_start;
412 evapi.signal_stop = ev_signal_stop; 513 evapi.signal_stop = ev_signal_stop;
413 evapi.idle_start = ev_idle_start; 514 evapi.idle_start = ev_idle_start;
414 evapi.idle_stop = ev_idle_stop; 515 evapi.idle_stop = ev_idle_stop;
415 evapi.prepare_start = ev_prepare_start; 516 evapi.prepare_start = ev_prepare_start;
416 evapi.prepare_stop = ev_prepare_stop; 517 evapi.prepare_stop = ev_prepare_stop;
417 evapi.check_start = ev_check_start; 518 evapi.check_start = ev_check_start;
418 evapi.check_stop = ev_check_stop; 519 evapi.check_stop = ev_check_stop;
520#if EV_CHILD_ENABLE
419 evapi.child_start = ev_child_start; 521 evapi.child_start = ev_child_start;
420 evapi.child_stop = ev_child_stop; 522 evapi.child_stop = ev_child_stop;
523#endif
421 evapi.stat_start = ev_stat_start; 524 evapi.stat_start = ev_stat_start;
422 evapi.stat_stop = ev_stat_stop; 525 evapi.stat_stop = ev_stat_stop;
423 evapi.stat_stat = ev_stat_stat; 526 evapi.stat_stat = ev_stat_stat;
424 evapi.embed_start = ev_embed_start; 527 evapi.embed_start = ev_embed_start;
425 evapi.embed_stop = ev_embed_stop; 528 evapi.embed_stop = ev_embed_stop;
426 evapi.embed_sweep = ev_embed_sweep; 529 evapi.embed_sweep = ev_embed_sweep;
427 evapi.fork_start = ev_fork_start; 530 evapi.fork_start = ev_fork_start;
428 evapi.fork_stop = ev_fork_stop; 531 evapi.fork_stop = ev_fork_stop;
532 evapi.cleanup_start = ev_cleanup_start;
533 evapi.cleanup_stop = ev_cleanup_stop;
534 evapi.async_start = ev_async_start;
535 evapi.async_stop = ev_async_stop;
536 evapi.async_send = ev_async_send;
429 evapi.clear_pending = ev_clear_pending; 537 evapi.clear_pending = ev_clear_pending;
430 evapi.invoke = ev_invoke; 538 evapi.invoke = ev_invoke;
431 539
432 sv_setiv (sv, (IV)&evapi); 540 sv_setiv (sv, (IV)&evapi);
433 SvREADONLY_on (sv); 541 SvREADONLY_on (sv);
434 } 542 }
435#ifndef _WIN32 543#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
544/* unfortunately, musl neither implements the linux standard base,
545/* nor makes itself detectable via macros. yeah, right... */
546#if __linux && (__GLIBC__ || __UCLIBC__)
547 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
548 __register_atfork (0, 0, default_fork, 0);
549#else
436 pthread_atfork (0, 0, ev_default_fork); 550 pthread_atfork (0, 0, default_fork);
551#endif
437#endif 552#endif
438} 553}
439 554
440SV *ev_default_loop (unsigned int flags = 0) 555SV *ev_default_loop (unsigned int flags = 0)
441 CODE: 556 CODE:
453 RETVAL = newSVsv (default_loop_sv); 568 RETVAL = newSVsv (default_loop_sv);
454} 569}
455 OUTPUT: 570 OUTPUT:
456 RETVAL 571 RETVAL
457 572
573void ev_default_destroy ()
574 CODE:
575 ev_loop_destroy (EV_DEFAULT_UC);
576 SvREFCNT_dec (default_loop_sv);
577 default_loop_sv = 0;
578
579unsigned int ev_supported_backends ()
580
581unsigned int ev_recommended_backends ()
582
583unsigned int ev_embeddable_backends ()
584
585void ev_sleep (NV interval)
586
458NV ev_time () 587NV ev_time ()
588
589void ev_feed_signal (SV *signal)
590 CODE:
591{
592 Signal signum = s_signum (signal);
593 CHECK_SIG (signal, signum);
594
595 ev_feed_signal (signum);
596}
459 597
460NV ev_now () 598NV ev_now ()
461 C_ARGS: evapi.default_loop 599 C_ARGS: evapi.default_loop
462 600
601void ev_now_update ()
602 C_ARGS: evapi.default_loop
603
604void ev_suspend ()
605 C_ARGS: evapi.default_loop
606
607void ev_resume ()
608 C_ARGS: evapi.default_loop
609
463unsigned int ev_backend () 610unsigned int ev_backend ()
464 C_ARGS: evapi.default_loop 611 C_ARGS: evapi.default_loop
465 612
466unsigned int ev_loop_count () 613void ev_verify ()
614 ALIAS:
615 loop_verify = 1
467 C_ARGS: evapi.default_loop 616 C_ARGS: evapi.default_loop
468 617
618unsigned int ev_iteration ()
619 ALIAS:
620 loop_count = 1
621 C_ARGS: evapi.default_loop
622
623unsigned int ev_depth ()
624 ALIAS:
625 loop_depth = 1
626 C_ARGS: evapi.default_loop
627
628void ev_set_io_collect_interval (NV interval)
629 C_ARGS: evapi.default_loop, interval
630
631void ev_set_timeout_collect_interval (NV interval)
632 C_ARGS: evapi.default_loop, interval
633
469void ev_loop (int flags = 0) 634int ev_run (int flags = 0)
635 ALIAS:
636 loop = 1
470 C_ARGS: evapi.default_loop, flags 637 C_ARGS: evapi.default_loop, flags
471 638
472void ev_unloop (int how = 1) 639void ev_break (int how = EVBREAK_ONE)
640 ALIAS:
641 unloop = 1
473 C_ARGS: evapi.default_loop, how 642 C_ARGS: evapi.default_loop, how
474 643
475void ev_feed_fd_event (int fd, int revents = EV_NONE) 644void ev_feed_fd_event (int fd, int revents = EV_NONE)
476 C_ARGS: evapi.default_loop, fd, revents 645 C_ARGS: evapi.default_loop, fd, revents
477 646
478void ev_feed_signal_event (SV *signal) 647void ev_feed_signal_event (SV *signal)
479 CODE: 648 CODE:
480{ 649{
481 Signal signum = sv_signum (signal); 650 Signal signum = s_signum (signal);
482 CHECK_SIG (signal, signum); 651 CHECK_SIG (signal, signum);
483 652
484 ev_feed_signal_event (evapi.default_loop, signum); 653 ev_feed_signal_event (evapi.default_loop, signum);
485} 654}
486 655
656unsigned int ev_pending_count ()
657 C_ARGS: evapi.default_loop
658
659void ev_invoke_pending ()
660 C_ARGS: evapi.default_loop
661
487ev_io *io (SV *fh, int events, SV *cb) 662ev_io *io (SV *fh, int events, SV *cb)
488 ALIAS: 663 ALIAS:
489 io_ns = 1 664 io_ns = 1
665 _ae_io = 2
490 CODE: 666 CODE:
491{ 667{
492 int fd = sv_fileno (fh); 668 int fd = s_fileno (fh, events & EV_WRITE);
493 CHECK_FD (fh, fd); 669 CHECK_FD (fh, fd);
494 670
671 if (ix == 2)
672 {
673 ix = 0;
674 events = events ? EV_WRITE : EV_READ;
675 }
676
495 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv); 677 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
496 RETVAL->fh = newSVsv (fh); 678 e_fh (RETVAL) = newSVsv (fh);
497 ev_io_set (RETVAL, fd, events); 679 ev_io_set (RETVAL, fd, events);
498 if (!ix) START (io, RETVAL); 680 if (!ix) START (io, RETVAL);
499} 681}
500 OUTPUT: 682 OUTPUT:
501 RETVAL 683 RETVAL
517 periodic_ns = 1 699 periodic_ns = 1
518 INIT: 700 INIT:
519 CHECK_REPEAT (interval); 701 CHECK_REPEAT (interval);
520 CODE: 702 CODE:
521{ 703{
522 ev_periodic *w; 704 ev_periodic *w;
523 w = e_new (sizeof (ev_periodic), cb, default_loop_sv); 705 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
524 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 706 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
525 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 707 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
526 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 708 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
527 if (!ix) START (periodic, w); 709 if (!ix) START (periodic, w);
528} 710}
529 OUTPUT: 711 OUTPUT:
530 RETVAL 712 RETVAL
532ev_signal *signal (SV *signal, SV *cb) 714ev_signal *signal (SV *signal, SV *cb)
533 ALIAS: 715 ALIAS:
534 signal_ns = 1 716 signal_ns = 1
535 CODE: 717 CODE:
536{ 718{
537 Signal signum = sv_signum (signal); 719 Signal signum = s_signum (signal);
538 CHECK_SIG (signal, signum); 720 CHECK_SIG (signal, signum);
539 721
540 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv); 722 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
541 ev_signal_set (RETVAL, signum); 723 ev_signal_set (RETVAL, signum);
542 if (!ix) START (signal, RETVAL); 724 if (!ix) START_SIGNAL (RETVAL);
543} 725}
544 OUTPUT: 726 OUTPUT:
545 RETVAL 727 RETVAL
546 728
547ev_idle *idle (SV *cb) 729ev_idle *idle (SV *cb)
582 ev_fork_set (RETVAL); 764 ev_fork_set (RETVAL);
583 if (!ix) START (fork, RETVAL); 765 if (!ix) START (fork, RETVAL);
584 OUTPUT: 766 OUTPUT:
585 RETVAL 767 RETVAL
586 768
769#if CLEANUP_ENABLED
770
771ev_cleanup *cleanup (SV *cb)
772 ALIAS:
773 cleanup_ns = 1
774 CODE:
775 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
776 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
777 ev_cleanup_set (RETVAL);
778 if (!ix) START (cleanup, RETVAL);
779 OUTPUT:
780 RETVAL
781
782#endif
783
587ev_child *child (int pid, SV *cb) 784ev_child *child (int pid, int trace, SV *cb)
588 ALIAS: 785 ALIAS:
589 child_ns = 1 786 child_ns = 1
590 CODE: 787 CODE:
788#if EV_CHILD_ENABLE
591 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv); 789 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
592 ev_child_set (RETVAL, pid); 790 ev_child_set (RETVAL, pid, trace);
593 if (!ix) START (child, RETVAL); 791 if (!ix) START (child, RETVAL);
792#else
793 croak ("EV::child watchers not supported on this platform");
794#endif
594 OUTPUT: 795 OUTPUT:
595 RETVAL 796 RETVAL
797
596 798
597ev_stat *stat (SV *path, NV interval, SV *cb) 799ev_stat *stat (SV *path, NV interval, SV *cb)
598 ALIAS: 800 ALIAS:
599 stat_ns = 1 801 stat_ns = 1
600 CODE: 802 CODE:
601 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv); 803 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
602 RETVAL->fh = newSVsv (path); 804 e_fh (RETVAL) = newSVsv (path);
603 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 805 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
604 if (!ix) START (stat, RETVAL); 806 if (!ix) START (stat, RETVAL);
605 OUTPUT: 807 OUTPUT:
606 RETVAL 808 RETVAL
607 809
810#ifndef EV_NO_LOOPS
811
608ev_embed *embed (struct ev_loop *loop, SV *cb = &PL_sv_undef) 812ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
609 ALIAS: 813 ALIAS:
610 embed_ns = 1 814 embed_ns = 1
611 CODE: 815 CODE:
612{ 816{
613 if (!(ev_backend (loop) & ev_embeddable_backends ())) 817 if (!(ev_backend (loop) & ev_embeddable_backends ()))
614 croak ("passed loop is not embeddable via EV::embed,"); 818 croak ("passed loop is not embeddable via EV::embed,");
615 819
616 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv); 820 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
617 RETVAL->fh = newSVsv (ST (0)); 821 e_fh (RETVAL) = newSVsv (ST (0));
618 ev_embed_set (RETVAL, loop); 822 ev_embed_set (RETVAL, loop);
619
620 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
621
622 if (!ix) START (embed, RETVAL); 823 if (!ix) START (embed, RETVAL);
623} 824}
825 OUTPUT:
826 RETVAL
827
828#endif
829
830ev_async *async (SV *cb)
831 ALIAS:
832 async_ns = 1
833 CODE:
834 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
835 ev_async_set (RETVAL);
836 if (!ix) START (async, RETVAL);
624 OUTPUT: 837 OUTPUT:
625 RETVAL 838 RETVAL
626 839
627void once (SV *fh, int events, SV *timeout, SV *cb) 840void once (SV *fh, int events, SV *timeout, SV *cb)
628 CODE: 841 CODE:
629 ev_once ( 842 ev_once (
630 evapi.default_loop, 843 evapi.default_loop,
631 sv_fileno (fh), events, 844 s_fileno (fh, events & EV_WRITE), events,
632 SvOK (timeout) ? SvNV (timeout) : -1., 845 SvOK (timeout) ? SvNV (timeout) : -1.,
633 e_once_cb, 846 e_once_cb,
634 newSVsv (cb) 847 newSVsv (cb)
635 ); 848 );
636 849
652 C_ARGS: e_loop (w), w, revents 865 C_ARGS: e_loop (w), w, revents
653 866
654int keepalive (ev_watcher *w, int new_value = 0) 867int keepalive (ev_watcher *w, int new_value = 0)
655 CODE: 868 CODE:
656{ 869{
657 RETVAL = w->flags & WFLAG_KEEPALIVE; 870 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
658 new_value = new_value ? WFLAG_KEEPALIVE : 0; 871 new_value = new_value ? WFLAG_KEEPALIVE : 0;
659 872
660 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 873 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
661 { 874 {
875 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
662 REF (w); 876 REF (w);
663 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value;
664 UNREF (w); 877 UNREF (w);
665 } 878 }
666} 879}
667 OUTPUT: 880 OUTPUT:
668 RETVAL 881 RETVAL
669 882
670SV *cb (ev_watcher *w, SV *new_cb = 0) 883SV *cb (ev_watcher *w, SV *new_cb = 0)
671 CODE: 884 CODE:
672{ 885{
673 RETVAL = newSVsv (w->cb_sv);
674
675 if (items > 1) 886 if (items > 1)
676 sv_setsv (w->cb_sv, new_cb); 887 {
888 new_cb = s_get_cv_croak (new_cb);
889 RETVAL = newRV_noinc (w->cb_sv);
890 w->cb_sv = SvREFCNT_inc (new_cb);
891 }
892 else
893 RETVAL = newRV_inc (w->cb_sv);
677} 894}
678 OUTPUT: 895 OUTPUT:
679 RETVAL 896 RETVAL
680 897
681SV *data (ev_watcher *w, SV *new_data = 0) 898SV *data (ev_watcher *w, SV *new_data = 0)
746 e_destroy (w); 963 e_destroy (w);
747 964
748void set (ev_io *w, SV *fh, int events) 965void set (ev_io *w, SV *fh, int events)
749 CODE: 966 CODE:
750{ 967{
751 int fd = sv_fileno (fh); 968 int fd = s_fileno (fh, events & EV_WRITE);
752 CHECK_FD (fh, fd); 969 CHECK_FD (fh, fd);
753 970
754 sv_setsv (w->fh, fh); 971 sv_setsv (e_fh (w), fh);
755 RESET (io, w, (w, fd, events)); 972 RESET (io, w, (w, fd, events));
756} 973}
757 974
758SV *fh (ev_io *w, SV *new_fh = 0) 975SV *fh (ev_io *w, SV *new_fh = 0)
759 CODE: 976 CODE:
760{ 977{
761 if (items > 1) 978 if (items > 1)
762 { 979 {
763 int fd = sv_fileno (new_fh); 980 int fd = s_fileno (new_fh, w->events & EV_WRITE);
764 CHECK_FD (new_fh, fd); 981 CHECK_FD (new_fh, fd);
765 982
766 RETVAL = w->fh; 983 RETVAL = e_fh (w);
767 w->fh = newSVsv (new_fh); 984 e_fh (w) = newSVsv (new_fh);
768 985
769 RESET (io, w, (w, fd, w->events)); 986 RESET (io, w, (w, fd, w->events));
770 } 987 }
771 else 988 else
772 RETVAL = newSVsv (w->fh); 989 RETVAL = newSVsv (e_fh (w));
773} 990}
774 OUTPUT: 991 OUTPUT:
775 RETVAL 992 RETVAL
776 993
777int events (ev_io *w, int new_events = EV_UNDEF) 994int events (ev_io *w, int new_events = EV_UNDEF)
778 CODE: 995 CODE:
779{ 996{
780 RETVAL = w->events; 997 RETVAL = w->events;
781 998
782 if (items > 1) 999 if (items > 1)
783 RESET (io, w, (w, w->fd, new_events)); 1000 {
1001 int active = ev_is_active (w);
1002 if (active) STOP (io, w);
1003 ev_io_modify (w, new_events);
1004 if (active) START (io, w);
1005 }
784} 1006}
785 OUTPUT: 1007 OUTPUT:
786 RETVAL 1008 RETVAL
787 1009
788MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1010MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
789 1011
790void ev_signal_start (ev_signal *w) 1012void ev_signal_start (ev_signal *w)
791 CODE: 1013 CODE:
792 START (signal, w); 1014 START_SIGNAL (w);
793 1015
794void ev_signal_stop (ev_signal *w) 1016void ev_signal_stop (ev_signal *w)
795 CODE: 1017 CODE:
796 STOP (signal, w); 1018 STOP (signal, w);
797 1019
801 e_destroy (w); 1023 e_destroy (w);
802 1024
803void set (ev_signal *w, SV *signal) 1025void set (ev_signal *w, SV *signal)
804 CODE: 1026 CODE:
805{ 1027{
806 Signal signum = sv_signum (signal); 1028 Signal signum = s_signum (signal);
807 CHECK_SIG (signal, signum); 1029 CHECK_SIG (signal, signum);
808 1030
809 RESET (signal, w, (w, signum)); 1031 RESET_SIGNAL (w, (w, signum));
810} 1032}
811 1033
812int signal (ev_signal *w, SV *new_signal = 0) 1034int signal (ev_signal *w, SV *new_signal = 0)
813 CODE: 1035 CODE:
814{ 1036{
815 RETVAL = w->signum; 1037 RETVAL = w->signum;
816 1038
817 if (items > 1) 1039 if (items > 1)
818 { 1040 {
819 Signal signum = sv_signum (new_signal); 1041 Signal signum = s_signum (new_signal);
820 CHECK_SIG (new_signal, signum); 1042 CHECK_SIG (new_signal, signum);
821 1043
822 RESET (signal, w, (w, signum)); 1044 RESET_SIGNAL (w, (w, signum));
823 } 1045 }
824} 1046}
825 OUTPUT: 1047 OUTPUT:
826 RETVAL 1048 RETVAL
827 1049
835 1057
836void ev_timer_stop (ev_timer *w) 1058void ev_timer_stop (ev_timer *w)
837 CODE: 1059 CODE:
838 STOP (timer, w); 1060 STOP (timer, w);
839 1061
840void ev_timer_again (ev_timer *w) 1062void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
841 INIT: 1063 CODE:
1064 if (items > 1)
1065 w->repeat = repeat;
842 CHECK_REPEAT (w->repeat); 1066 CHECK_REPEAT (w->repeat);
843 CODE:
844 REF (w);
845 ev_timer_again (e_loop (w), w); 1067 ev_timer_again (e_loop (w), w);
846 UNREF (w); 1068 UNREF (w);
1069
1070NV ev_timer_remaining (ev_timer *w)
1071 C_ARGS: e_loop (w), w
847 1072
848void DESTROY (ev_timer *w) 1073void DESTROY (ev_timer *w)
849 CODE: 1074 CODE:
850 STOP (timer, w); 1075 STOP (timer, w);
851 e_destroy (w); 1076 e_destroy (w);
854 INIT: 1079 INIT:
855 CHECK_REPEAT (repeat); 1080 CHECK_REPEAT (repeat);
856 CODE: 1081 CODE:
857 RESET (timer, w, (w, after, repeat)); 1082 RESET (timer, w, (w, after, repeat));
858 1083
859NV at (ev_timer *w)
860 CODE:
861 RETVAL = w->at;
862 OUTPUT:
863 RETVAL
864
865MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_ 1084MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_
866 1085
867void ev_periodic_start (ev_periodic *w) 1086void ev_periodic_start (ev_periodic *w)
868 INIT: 1087 INIT:
869 CHECK_REPEAT (w->interval); 1088 CHECK_REPEAT (w->interval);
874 CODE: 1093 CODE:
875 STOP (periodic, w); 1094 STOP (periodic, w);
876 1095
877void ev_periodic_again (ev_periodic *w) 1096void ev_periodic_again (ev_periodic *w)
878 CODE: 1097 CODE:
879 REF (w);
880 ev_periodic_again (e_loop (w), w); 1098 ev_periodic_again (e_loop (w), w);
881 UNREF (w); 1099 UNREF (w);
882 1100
883void DESTROY (ev_periodic *w) 1101void DESTROY (ev_periodic *w)
884 CODE: 1102 CODE:
888void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1106void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
889 INIT: 1107 INIT:
890 CHECK_REPEAT (interval); 1108 CHECK_REPEAT (interval);
891 CODE: 1109 CODE:
892{ 1110{
893 SvREFCNT_dec (w->fh); 1111 SvREFCNT_dec (e_fh (w));
894 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1112 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
895 1113
896 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1114 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
897} 1115}
898 1116
899NV at (ev_periodic *w) 1117NV at (ev_periodic *w)
900 CODE: 1118 CODE:
901 RETVAL = w->at; 1119 RETVAL = ev_periodic_at (w);
902 OUTPUT: 1120 OUTPUT:
903 RETVAL 1121 RETVAL
904 1122
905MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1123MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
906 1124
960void DESTROY (ev_fork *w) 1178void DESTROY (ev_fork *w)
961 CODE: 1179 CODE:
962 STOP (fork, w); 1180 STOP (fork, w);
963 e_destroy (w); 1181 e_destroy (w);
964 1182
1183#if CLEANUP_ENABLED
1184
1185MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1186
1187void ev_cleanup_start (ev_cleanup *w)
1188 CODE:
1189 START (cleanup, w);
1190
1191void ev_cleanup_stop (ev_cleanup *w)
1192 CODE:
1193 STOP (cleanup, w);
1194
1195void DESTROY (ev_cleanup *w)
1196 CODE:
1197 STOP (cleanup, w);
1198 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1199 e_destroy (w);
1200
1201int keepalive (ev_watcher *w, SV *new_value = 0)
1202 CODE:
1203 RETVAL = 1;
1204 OUTPUT:
1205 RETVAL
1206
1207#endif
1208
965MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1209MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1210
1211#if EV_CHILD_ENABLE
966 1212
967void ev_child_start (ev_child *w) 1213void ev_child_start (ev_child *w)
968 CODE: 1214 CODE:
969 START (child, w); 1215 START (child, w);
970 1216
975void DESTROY (ev_child *w) 1221void DESTROY (ev_child *w)
976 CODE: 1222 CODE:
977 STOP (child, w); 1223 STOP (child, w);
978 e_destroy (w); 1224 e_destroy (w);
979 1225
980void set (ev_child *w, int pid) 1226void set (ev_child *w, int pid, int trace)
981 CODE: 1227 CODE:
982 RESET (child, w, (w, pid)); 1228 RESET (child, w, (w, pid, trace));
983 1229
984int pid (ev_child *w, int new_pid = 0)
985 CODE:
986{
987 RETVAL = w->pid;
988
989 if (items > 1)
990 RESET (child, w, (w, new_pid));
991}
992 OUTPUT:
993 RETVAL
994
995
996int rstatus (ev_child *w) 1230int pid (ev_child *w)
997 ALIAS: 1231 ALIAS:
998 rpid = 1 1232 rpid = 1
1233 rstatus = 2
999 CODE: 1234 CODE:
1000 RETVAL = ix ? w->rpid : w->rstatus; 1235 RETVAL = ix == 0 ? w->pid
1236 : ix == 1 ? w->rpid
1237 : w->rstatus;
1001 OUTPUT: 1238 OUTPUT:
1002 RETVAL 1239 RETVAL
1240
1241#endif
1003 1242
1004MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1243MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
1005 1244
1006void ev_stat_start (ev_stat *w) 1245void ev_stat_start (ev_stat *w)
1007 CODE: 1246 CODE:
1017 e_destroy (w); 1256 e_destroy (w);
1018 1257
1019void set (ev_stat *w, SV *path, NV interval) 1258void set (ev_stat *w, SV *path, NV interval)
1020 CODE: 1259 CODE:
1021{ 1260{
1022 sv_setsv (w->fh, path); 1261 sv_setsv (e_fh (w), path);
1023 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1262 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
1024} 1263}
1025 1264
1026SV *path (ev_stat *w, SV *new_path = 0) 1265SV *path (ev_stat *w, SV *new_path = 0)
1027 CODE: 1266 CODE:
1028{ 1267{
1029 RETVAL = SvREFCNT_inc (w->fh); 1268 RETVAL = SvREFCNT_inc (e_fh (w));
1030 1269
1031 if (items > 1) 1270 if (items > 1)
1032 { 1271 {
1033 SvREFCNT_dec (w->fh); 1272 SvREFCNT_dec (e_fh (w));
1034 w->fh = newSVsv (new_path); 1273 e_fh (w) = newSVsv (new_path);
1035 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1274 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
1036 } 1275 }
1037} 1276}
1038 OUTPUT: 1277 OUTPUT:
1039 RETVAL 1278 RETVAL
1040 1279
1042 CODE: 1281 CODE:
1043{ 1282{
1044 RETVAL = w->interval; 1283 RETVAL = w->interval;
1045 1284
1046 if (items > 1) 1285 if (items > 1)
1047 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1286 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
1048} 1287}
1049 OUTPUT: 1288 OUTPUT:
1050 RETVAL 1289 RETVAL
1051 1290
1052void prev (ev_stat *w) 1291void prev (ev_stat *w)
1111 e_destroy (w); 1350 e_destroy (w);
1112 1351
1113void set (ev_embed *w, struct ev_loop *loop) 1352void set (ev_embed *w, struct ev_loop *loop)
1114 CODE: 1353 CODE:
1115{ 1354{
1116 sv_setsv (w->fh, ST (1)); 1355 sv_setsv (e_fh (w), ST (1));
1117 RESET (embed, w, (w, loop)); 1356 RESET (embed, w, (w, loop));
1118} 1357}
1119 1358
1120SV *other (ev_embed *w) 1359SV *other (ev_embed *w)
1121 CODE: 1360 CODE:
1122 RETVAL = newSVsv (w->fh); 1361 RETVAL = newSVsv (e_fh (w));
1123 OUTPUT: 1362 OUTPUT:
1124 RETVAL 1363 RETVAL
1364
1365void ev_embed_sweep (ev_embed *w)
1366 C_ARGS: e_loop (w), w
1367
1368MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1369
1370void ev_async_start (ev_async *w)
1371 CODE:
1372 START (async, w);
1373
1374void ev_async_stop (ev_async *w)
1375 CODE:
1376 STOP (async, w);
1377
1378void DESTROY (ev_async *w)
1379 CODE:
1380 STOP (async, w);
1381 e_destroy (w);
1382
1383void ev_async_send (ev_async *w)
1384 C_ARGS: e_loop (w), w
1385
1386SV *ev_async_async_pending (ev_async *w)
1387 CODE:
1388 RETVAL = boolSV (ev_async_pending (w));
1389 OUTPUT:
1390 RETVAL
1391
1392#ifndef EV_NO_LOOPS
1125 1393
1126MODULE = EV PACKAGE = EV::Loop PREFIX = ev_ 1394MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1127 1395
1128SV *new (SV *klass, unsigned int flags = 0) 1396SV *new (SV *klass, unsigned int flags = 0)
1129 CODE: 1397 CODE:
1138 OUTPUT: 1406 OUTPUT:
1139 RETVAL 1407 RETVAL
1140 1408
1141void DESTROY (struct ev_loop *loop) 1409void DESTROY (struct ev_loop *loop)
1142 CODE: 1410 CODE:
1143 if (loop != evapi.default_loop) /* global destruction sucks */ 1411 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1412 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1413 if (loop != evapi.default_loop)
1144 ev_loop_destroy (loop); 1414 ev_loop_destroy (loop);
1145 1415
1146void ev_loop_fork (struct ev_loop *loop) 1416void ev_loop_fork (struct ev_loop *loop)
1147 1417
1148NV ev_now (struct ev_loop *loop) 1418NV ev_now (struct ev_loop *loop)
1149 1419
1420void ev_now_update (struct ev_loop *loop)
1421
1422void ev_suspend (struct ev_loop *loop)
1423
1424void ev_resume (struct ev_loop *loop)
1425
1426void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1427
1428void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1429
1150unsigned int ev_backend (struct ev_loop *loop) 1430unsigned int ev_backend (struct ev_loop *loop)
1151 1431
1152unsigned int ev_loop_count (struct ev_loop *loop) 1432void ev_verify (struct ev_loop *loop)
1433 ALIAS:
1434 loop_verify = 1
1153 1435
1154void ev_loop (struct ev_loop *loop, int flags = 0) 1436unsigned int ev_iteration (struct ev_loop *loop)
1437 ALIAS:
1438 loop_count = 1
1155 1439
1440unsigned int ev_depth (struct ev_loop *loop)
1441 ALIAS:
1442 loop_depth = 1
1443
1444int ev_run (struct ev_loop *loop, int flags = 0)
1445 ALIAS:
1446 loop = 1
1447
1156void ev_unloop (struct ev_loop *loop, int how = 1) 1448void ev_break (struct ev_loop *loop, int how = 1)
1449 ALIAS:
1450 unloop = 1
1157 1451
1158void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE) 1452void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1159 1453
1454unsigned int ev_pending_count (struct ev_loop *loop)
1455
1456void ev_invoke_pending (struct ev_loop *loop)
1457
1160#if 0 1458#if 0
1161 1459
1162void ev_feed_signal_event (struct ev_loop *loop, SV *signal) 1460void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1163 CODE: 1461 CODE:
1164{ 1462{
1165 Signal signum = sv_signum (signal); 1463 Signal signum = s_signum (signal);
1166 CHECK_SIG (signal, signum); 1464 CHECK_SIG (signal, signum);
1167 1465
1168 ev_feed_signal_event (loop, signum); 1466 ev_feed_signal_event (loop, signum);
1169} 1467}
1170 1468
1173ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb) 1471ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1174 ALIAS: 1472 ALIAS:
1175 io_ns = 1 1473 io_ns = 1
1176 CODE: 1474 CODE:
1177{ 1475{
1178 int fd = sv_fileno (fh); 1476 int fd = s_fileno (fh, events & EV_WRITE);
1179 CHECK_FD (fh, fd); 1477 CHECK_FD (fh, fd);
1180 1478
1181 RETVAL = e_new (sizeof (ev_io), cb, ST (0)); 1479 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1182 RETVAL->fh = newSVsv (fh); 1480 e_fh (RETVAL) = newSVsv (fh);
1183 ev_io_set (RETVAL, fd, events); 1481 ev_io_set (RETVAL, fd, events);
1184 if (!ix) START (io, RETVAL); 1482 if (!ix) START (io, RETVAL);
1185} 1483}
1186 OUTPUT: 1484 OUTPUT:
1187 RETVAL 1485 RETVAL
1203 periodic_ns = 1 1501 periodic_ns = 1
1204 INIT: 1502 INIT:
1205 CHECK_REPEAT (interval); 1503 CHECK_REPEAT (interval);
1206 CODE: 1504 CODE:
1207{ 1505{
1208 ev_periodic *w; 1506 ev_periodic *w;
1209 w = e_new (sizeof (ev_periodic), cb, ST (0)); 1507 w = e_new (sizeof (ev_periodic), cb, ST (0));
1210 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1508 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1211 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 1509 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1212 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 1510 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1213 if (!ix) START (periodic, w); 1511 if (!ix) START (periodic, w);
1214} 1512}
1215 OUTPUT: 1513 OUTPUT:
1216 RETVAL 1514 RETVAL
1217 1515
1218#if 0
1219
1220ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb) 1516ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1221 ALIAS: 1517 ALIAS:
1222 signal_ns = 1 1518 signal_ns = 1
1223 CODE: 1519 CODE:
1224{ 1520{
1225 Signal signum = sv_signum (signal); 1521 Signal signum = s_signum (signal);
1226 CHECK_SIG (signal, signum); 1522 CHECK_SIG (signal, signum);
1227 1523
1228 RETVAL = e_new (sizeof (ev_signal), cb, ST (0)); 1524 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1229 ev_signal_set (RETVAL, signum); 1525 ev_signal_set (RETVAL, signum);
1230 if (!ix) START (signal, RETVAL); 1526 if (!ix) START_SIGNAL (RETVAL);
1231} 1527}
1232 OUTPUT: 1528 OUTPUT:
1233 RETVAL 1529 RETVAL
1234
1235#endif
1236 1530
1237ev_idle *idle (struct ev_loop *loop, SV *cb) 1531ev_idle *idle (struct ev_loop *loop, SV *cb)
1238 ALIAS: 1532 ALIAS:
1239 idle_ns = 1 1533 idle_ns = 1
1240 CODE: 1534 CODE:
1272 ev_fork_set (RETVAL); 1566 ev_fork_set (RETVAL);
1273 if (!ix) START (fork, RETVAL); 1567 if (!ix) START (fork, RETVAL);
1274 OUTPUT: 1568 OUTPUT:
1275 RETVAL 1569 RETVAL
1276 1570
1571#if CLEANUP_ENABLED
1572
1573ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1574 ALIAS:
1575 cleanup_ns = 1
1576 CODE:
1577 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1578 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1579 ev_cleanup_set (RETVAL);
1580 if (!ix) START (cleanup, RETVAL);
1581 OUTPUT:
1582 RETVAL
1583
1584#endif
1585
1277ev_child *child (struct ev_loop *loop, int pid, SV *cb) 1586ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1278 ALIAS: 1587 ALIAS:
1279 child_ns = 1 1588 child_ns = 1
1280 CODE: 1589 CODE:
1590#if EV_CHILD_ENABLE
1281 RETVAL = e_new (sizeof (ev_child), cb, ST (0)); 1591 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1282 ev_child_set (RETVAL, pid); 1592 ev_child_set (RETVAL, pid, trace);
1283 if (!ix) START (child, RETVAL); 1593 if (!ix) START (child, RETVAL);
1594#else
1595 croak ("EV::child watchers not supported on this platform");
1596#endif
1284 OUTPUT: 1597 OUTPUT:
1285 RETVAL 1598 RETVAL
1286 1599
1287ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb) 1600ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1288 ALIAS: 1601 ALIAS:
1289 stat_ns = 1 1602 stat_ns = 1
1290 CODE: 1603 CODE:
1291 RETVAL = e_new (sizeof (ev_stat), cb, ST (0)); 1604 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1292 RETVAL->fh = newSVsv (path); 1605 e_fh (RETVAL) = newSVsv (path);
1293 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 1606 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1294 if (!ix) START (stat, RETVAL); 1607 if (!ix) START (stat, RETVAL);
1295 OUTPUT: 1608 OUTPUT:
1296 RETVAL 1609 RETVAL
1297 1610
1298ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = &PL_sv_undef) 1611ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1299 ALIAS: 1612 ALIAS:
1300 embed_ns = 1 1613 embed_ns = 1
1301 CODE: 1614 CODE:
1302{ 1615{
1303 if (!(ev_backend (other) & ev_embeddable_backends ())) 1616 if (!(ev_backend (other) & ev_embeddable_backends ()))
1304 croak ("passed loop is not embeddable via EV::embed,"); 1617 croak ("passed loop is not embeddable via EV::embed,");
1305 1618
1306 RETVAL = e_new (sizeof (ev_embed), cb, ST (0)); 1619 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1307 RETVAL->fh = newSVsv (ST (1)); 1620 e_fh (RETVAL) = newSVsv (ST (1));
1308 ev_embed_set (RETVAL, other); 1621 ev_embed_set (RETVAL, other);
1309
1310 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
1311
1312 if (!ix) START (embed, RETVAL); 1622 if (!ix) START (embed, RETVAL);
1313} 1623}
1624 OUTPUT:
1625 RETVAL
1626
1627ev_async *async (struct ev_loop *loop, SV *cb)
1628 ALIAS:
1629 async_ns = 1
1630 CODE:
1631 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1632 ev_async_set (RETVAL);
1633 if (!ix) START (async, RETVAL);
1314 OUTPUT: 1634 OUTPUT:
1315 RETVAL 1635 RETVAL
1316 1636
1317void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb) 1637void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1318 CODE: 1638 CODE:
1319 ev_once ( 1639 ev_once (
1320 loop, 1640 loop,
1321 sv_fileno (fh), events, 1641 s_fileno (fh, events & EV_WRITE), events,
1322 SvOK (timeout) ? SvNV (timeout) : -1., 1642 SvOK (timeout) ? SvNV (timeout) : -1.,
1323 e_once_cb, 1643 e_once_cb,
1324 newSVsv (cb) 1644 newSVsv (cb)
1325 ); 1645 );
1326 1646
1647#endif
1648

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines