ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.142 by root, Thu Oct 21 15:13:42 2010 UTC vs.
Revision 1.178 by root, Wed Jan 22 17:02:40 2020 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/* fix perl api breakage */ 5/* fix perl api breakage */
6#ifndef WIN32
6#undef signal 7# undef signal
7#undef sigaction 8# undef sigaction
9#endif
8 10
9#include "schmorp.h" 11#include "schmorp.h"
10 12
11/* old API compatibility */ 13/* old API compatibility */
12static int 14static int
13sv_fileno (SV *fh) 15sv_fileno (SV *fh)
14{ 16{
15 return s_fileno (fh, 0); 17 return s_fileno (fh, 0);
16} 18}
17 19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26# include <assert.h>
27#endif
28
18#define EV_STANDALONE 1 29#define EV_STANDALONE 1
19#define EV_PROTOTYPES 1 30#define EV_PROTOTYPES 1
20#define EV_USE_NANOSLEEP EV_USE_MONOTONIC 31#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
21#define EV_H <ev.h> 32#define EV_USE_FLOOR 1
33#define EV_API_STATIC
34#define EV_H "../libev/ev.h"
22#define EV_CONFIG_H error 35#define EV_CONFIG_H error
23#include "EV/EVAPI.h" 36#include "EV/EVAPI.h"
24 37
25#define EV_SELECT_IS_WINSOCKET 0 38#define EV_SELECT_IS_WINSOCKET 0
26#ifdef _WIN32 39#ifdef _WIN32
29# define fd_mask Perl_fd_mask 42# define fd_mask Perl_fd_mask
30#endif 43#endif
31/* due to bugs in OS X we have to use libev/ explicitly here */ 44/* due to bugs in OS X we have to use libev/ explicitly here */
32#include "libev/ev.c" 45#include "libev/ev.c"
33 46
34#if !defined(_WIN32) && !defined(_MINIX) 47#if !defined _WIN32 && !defined __minix && !EV_NO_ATFORK
35# include <pthread.h> 48# include <pthread.h>
36#endif 49#endif
37 50
38#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop)) 51#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
39#define e_flags(w) ((ev_watcher *)(w))->e_flags 52#define e_flags(w) ((ev_watcher *)(w))->e_flags
69 do { \ 82 do { \
70 REF (w); \ 83 REF (w); \
71 ev_ ## type ## _stop (e_loop (w), w); \ 84 ev_ ## type ## _stop (e_loop (w), w); \
72 } while (0) 85 } while (0)
73 86
87#define PAUSE(type) \
88 do { \
89 int active = ev_is_active (w); \
90 if (active) STOP (type, w)
91
92#define RESUME(type) \
93 if (active) START (type, w); \
94 } while (0)
95
96
74#define RESET(type,w,seta) \ 97#define RESET(type,w,seta) \
75 do { \ 98 PAUSE (type); \
76 int active = ev_is_active (w); \
77 if (active) STOP (type, w); \
78 ev_ ## type ## _set seta; \ 99 ev_ ## type ## _set seta; \
79 if (active) START (type, w); \ 100 RESUME (type)
80 } while (0)
81 101
82typedef int Signal; 102typedef int Signal;
83 103
84/* horrible... */ 104/* horrible... */
85#define CHECK_SIGNAL_CAN_START(w) \ 105#define CHECK_SIGNAL_CAN_START(w) \
120 *stash_idle, 140 *stash_idle,
121 *stash_prepare, 141 *stash_prepare,
122 *stash_check, 142 *stash_check,
123 *stash_embed, 143 *stash_embed,
124 *stash_fork, 144 *stash_fork,
145 *stash_cleanup,
125 *stash_async; 146 *stash_async;
126 147
127///////////////////////////////////////////////////////////////////////////// 148/////////////////////////////////////////////////////////////////////////////
128// Event 149// Event
129 150
130static void e_cb (EV_P_ ev_watcher *w, int revents); 151static void e_cb (EV_P_ ev_watcher *w, int revents);
131 152
132void * 153static void *
133e_new (int size, SV *cb_sv, SV *loop) 154e_new (int size, SV *cb_sv, SV *loop)
134{ 155{
135 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0; 156 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
136 ev_watcher *w; 157 ev_watcher *w;
137 SV *self = NEWSV (0, size); 158 SV *self = NEWSV (0, size);
188 dSP; 209 dSP;
189 I32 mark = SP - PL_stack_base; 210 I32 mark = SP - PL_stack_base;
190 SV *sv_self, *sv_events; 211 SV *sv_self, *sv_events;
191 212
192 /* libev might have stopped the watcher */ 213 /* libev might have stopped the watcher */
193 if (expect_false (w->e_flags & WFLAG_UNREFED) 214 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
194 && !ev_is_active (w)) 215 && !ev_is_active (w))
195 REF (w); 216 REF (w);
196 217
197 if (expect_true (sv_self_cache)) 218 if (ecb_expect_true (sv_self_cache))
198 { 219 {
199 sv_self = sv_self_cache; sv_self_cache = 0; 220 sv_self = sv_self_cache; sv_self_cache = 0;
200 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self)); 221 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
201 } 222 }
202 else 223 else
203 { 224 {
204 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */ 225 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
205 SvREADONLY_on (sv_self); 226 SvREADONLY_on (sv_self);
206 } 227 }
207 228
208 if (expect_true (sv_events_cache)) 229 if (ecb_expect_true (sv_events_cache))
209 { 230 {
210 sv_events = sv_events_cache; sv_events_cache = 0; 231 sv_events = sv_events_cache; sv_events_cache = 0;
211 SvIV_set (sv_events, revents); 232 SvIV_set (sv_events, revents);
233 SvIOK_only (sv_events);
212 } 234 }
213 else 235 else
214 { 236 {
215 sv_events = newSViv (revents); 237 sv_events = newSViv (revents);
216 SvREADONLY_on (sv_events); 238 SvREADONLY_on (sv_events);
222 PUSHs (sv_events); 244 PUSHs (sv_events);
223 245
224 PUTBACK; 246 PUTBACK;
225 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 247 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
226 248
227 if (expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache)) 249 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
228 SvREFCNT_dec (sv_self); 250 SvREFCNT_dec (sv_self);
229 else 251 else
230 { 252 {
231 SvREFCNT_dec (SvRV (sv_self)); 253 SvREFCNT_dec (SvRV (sv_self));
232 SvRV_set (sv_self, &PL_sv_undef); 254 SvRV_set (sv_self, &PL_sv_undef);
233 sv_self_cache = sv_self; 255 sv_self_cache = sv_self;
234 } 256 }
235 257
236 if (expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache)) 258 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
237 SvREFCNT_dec (sv_events); 259 SvREFCNT_dec (sv_events);
238 else 260 else
239 sv_events_cache = sv_events; 261 sv_events_cache = sv_events;
240 262
241 if (expect_false (SvTRUE (ERRSV))) 263 if (ecb_expect_false (SvTRUE (ERRSV)))
242 { 264 {
243 SPAGAIN; 265 SPAGAIN;
244 PUSHMARK (SP); 266 PUSHMARK (SP);
245 PUTBACK; 267 PUTBACK;
246 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR); 268 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
340 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 362 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
341 363
342#define CHECK_SIG(sv,num) if ((num) < 0) \ 364#define CHECK_SIG(sv,num) if ((num) < 0) \
343 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 365 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
344 366
367static void
368default_fork (void)
369{
370 ev_loop_fork (EV_DEFAULT_UC);
371}
372
345///////////////////////////////////////////////////////////////////////////// 373/////////////////////////////////////////////////////////////////////////////
346// XS interface functions 374// XS interface functions
347 375
348MODULE = EV PACKAGE = EV PREFIX = ev_ 376MODULE = EV PACKAGE = EV PREFIX = ev_
349 377
364 const_iv (EV_, UNDEF) 392 const_iv (EV_, UNDEF)
365 const_iv (EV_, NONE) 393 const_iv (EV_, NONE)
366 const_iv (EV_, READ) 394 const_iv (EV_, READ)
367 const_iv (EV_, WRITE) 395 const_iv (EV_, WRITE)
368 const_iv (EV_, IO) 396 const_iv (EV_, IO)
369 const_iv (EV_, TIMEOUT) 397 const_iv (EV_, TIMER)
370 const_iv (EV_, PERIODIC) 398 const_iv (EV_, PERIODIC)
371 const_iv (EV_, SIGNAL) 399 const_iv (EV_, SIGNAL)
372 const_iv (EV_, CHILD) 400 const_iv (EV_, CHILD)
373 const_iv (EV_, STAT) 401 const_iv (EV_, STAT)
374 const_iv (EV_, IDLE) 402 const_iv (EV_, IDLE)
375 const_iv (EV_, PREPARE) 403 const_iv (EV_, PREPARE)
376 const_iv (EV_, CHECK) 404 /*const_iv (EV_, CHECK) needs special tretament */
377 const_iv (EV_, EMBED) 405 const_iv (EV_, EMBED)
378 const_iv (EV_, FORK) 406 const_iv (EV_, FORK)
407 const_iv (EV_, CLEANUP)
379 const_iv (EV_, ASYNC) 408 const_iv (EV_, ASYNC)
380 const_iv (EV_, CUSTOM) 409 const_iv (EV_, CUSTOM)
381 const_iv (EV_, ERROR) 410 const_iv (EV_, ERROR)
382 411
383 const_iv (EV, RUN_NOWAIT) 412 const_iv (EV, RUN_NOWAIT)
391 const_iv (EV, BACKEND_EPOLL) 420 const_iv (EV, BACKEND_EPOLL)
392 const_iv (EV, BACKEND_KQUEUE) 421 const_iv (EV, BACKEND_KQUEUE)
393 const_iv (EV, BACKEND_DEVPOLL) 422 const_iv (EV, BACKEND_DEVPOLL)
394 const_iv (EV, BACKEND_PORT) 423 const_iv (EV, BACKEND_PORT)
395 const_iv (EV, BACKEND_ALL) 424 const_iv (EV, BACKEND_ALL)
425 const_iv (EV, BACKEND_MASK)
396 const_iv (EV, FLAG_AUTO) 426 const_iv (EV, FLAG_AUTO)
397 const_iv (EV, FLAG_FORKCHECK) 427 const_iv (EV, FLAG_FORKCHECK)
398 const_iv (EV, FLAG_SIGNALFD) 428 const_iv (EV, FLAG_SIGNALFD)
429 const_iv (EV, FLAG_NOSIGMASK)
399 const_iv (EV, FLAG_NOENV) 430 const_iv (EV, FLAG_NOENV)
400 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
401 const_iv (EV, FLAG_NOINOTIFY) 431 const_iv (EV, FLAG_NOINOTIFY)
402 432
403 const_iv (EV_, VERSION_MAJOR) 433 const_iv (EV_, VERSION_MAJOR)
404 const_iv (EV_, VERSION_MINOR) 434 const_iv (EV_, VERSION_MINOR)
405#if EV_COMPAT3 435#if EV_COMPAT3
436 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
406 const_iv (EV_, TIMER) 437 const_iv (EV_, TIMEOUT)
407
408 const_iv (EV, LOOP_NONBLOCK) 438 const_iv (EV, LOOP_NONBLOCK)
409 const_iv (EV, LOOP_ONESHOT) 439 const_iv (EV, LOOP_ONESHOT)
410
411 const_iv (EV, UNLOOP_CANCEL) 440 const_iv (EV, UNLOOP_CANCEL)
412 const_iv (EV, UNLOOP_ONE) 441 const_iv (EV, UNLOOP_ONE)
413 const_iv (EV, UNLOOP_ALL) 442 const_iv (EV, UNLOOP_ALL)
414#endif 443#endif
415 }; 444 };
416 445
417 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 446 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
418 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 447 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
448
449 /* since this clashes with perl CHECK blocks, */
450 /* but we are interested in constants, */
451 /* and not blocks, we treat CHECK specially. */
452 {
453 /* the local $^W = 0 takes care of the warning */
454 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
455 /* now we need to re-set the gv, in case it was hijacked */
456 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
457 }
419 458
420 stash_loop = gv_stashpv ("EV::Loop" , 1); 459 stash_loop = gv_stashpv ("EV::Loop" , 1);
421 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 460 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
422 stash_io = gv_stashpv ("EV::IO" , 1); 461 stash_io = gv_stashpv ("EV::IO" , 1);
423 stash_timer = gv_stashpv ("EV::Timer" , 1); 462 stash_timer = gv_stashpv ("EV::Timer" , 1);
428 stash_check = gv_stashpv ("EV::Check" , 1); 467 stash_check = gv_stashpv ("EV::Check" , 1);
429 stash_child = gv_stashpv ("EV::Child" , 1); 468 stash_child = gv_stashpv ("EV::Child" , 1);
430 stash_embed = gv_stashpv ("EV::Embed" , 1); 469 stash_embed = gv_stashpv ("EV::Embed" , 1);
431 stash_stat = gv_stashpv ("EV::Stat" , 1); 470 stash_stat = gv_stashpv ("EV::Stat" , 1);
432 stash_fork = gv_stashpv ("EV::Fork" , 1); 471 stash_fork = gv_stashpv ("EV::Fork" , 1);
472 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
433 stash_async = gv_stashpv ("EV::Async" , 1); 473 stash_async = gv_stashpv ("EV::Async" , 1);
434 474
435 { 475 {
436 SV *sv = perl_get_sv ("EV::API", TRUE); 476 SV *sv = perl_get_sv ("EV::API", TRUE);
437 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 477 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
494 evapi.embed_start = ev_embed_start; 534 evapi.embed_start = ev_embed_start;
495 evapi.embed_stop = ev_embed_stop; 535 evapi.embed_stop = ev_embed_stop;
496 evapi.embed_sweep = ev_embed_sweep; 536 evapi.embed_sweep = ev_embed_sweep;
497 evapi.fork_start = ev_fork_start; 537 evapi.fork_start = ev_fork_start;
498 evapi.fork_stop = ev_fork_stop; 538 evapi.fork_stop = ev_fork_stop;
539 evapi.cleanup_start = ev_cleanup_start;
540 evapi.cleanup_stop = ev_cleanup_stop;
499 evapi.async_start = ev_async_start; 541 evapi.async_start = ev_async_start;
500 evapi.async_stop = ev_async_stop; 542 evapi.async_stop = ev_async_stop;
501 evapi.async_send = ev_async_send; 543 evapi.async_send = ev_async_send;
502 evapi.clear_pending = ev_clear_pending; 544 evapi.clear_pending = ev_clear_pending;
503 evapi.invoke = ev_invoke; 545 evapi.invoke = ev_invoke;
504 546
505 sv_setiv (sv, (IV)&evapi); 547 sv_setiv (sv, (IV)&evapi);
506 SvREADONLY_on (sv); 548 SvREADONLY_on (sv);
507 } 549 }
508#if !defined(_WIN32) && !defined(_MINIX) 550#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
551/* unfortunately, musl neither implements the linux standard base,
552/* nor makes itself detectable via macros. yeah, right... */
553#if __linux && (__GLIBC__ || __UCLIBC__)
554 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
555 __register_atfork (0, 0, default_fork, 0);
556#else
509 pthread_atfork (0, 0, ev_default_fork); 557 pthread_atfork (0, 0, default_fork);
558#endif
510#endif 559#endif
511} 560}
512 561
513SV *ev_default_loop (unsigned int flags = 0) 562SV *ev_default_loop (unsigned int flags = 0)
514 CODE: 563 CODE:
528 OUTPUT: 577 OUTPUT:
529 RETVAL 578 RETVAL
530 579
531void ev_default_destroy () 580void ev_default_destroy ()
532 CODE: 581 CODE:
533 ev_default_destroy (); 582 ev_loop_destroy (EV_DEFAULT_UC);
534 SvREFCNT_dec (default_loop_sv); 583 SvREFCNT_dec (default_loop_sv);
535 default_loop_sv = 0; 584 default_loop_sv = 0;
536 585
537unsigned int ev_supported_backends () 586unsigned int ev_supported_backends ()
538 587
542 591
543void ev_sleep (NV interval) 592void ev_sleep (NV interval)
544 593
545NV ev_time () 594NV ev_time ()
546 595
596void ev_feed_signal (SV *signal)
597 CODE:
598{
599 Signal signum = s_signum (signal);
600 CHECK_SIG (signal, signum);
601
602 ev_feed_signal (signum);
603}
604
547NV ev_now () 605NV ev_now ()
548 C_ARGS: evapi.default_loop 606 C_ARGS: evapi.default_loop
549 607
550void ev_now_update () 608void ev_now_update ()
551 C_ARGS: evapi.default_loop 609 C_ARGS: evapi.default_loop
578 C_ARGS: evapi.default_loop, interval 636 C_ARGS: evapi.default_loop, interval
579 637
580void ev_set_timeout_collect_interval (NV interval) 638void ev_set_timeout_collect_interval (NV interval)
581 C_ARGS: evapi.default_loop, interval 639 C_ARGS: evapi.default_loop, interval
582 640
583void ev_run (int flags = 0) 641int ev_run (int flags = 0)
584 ALIAS: 642 ALIAS:
585 loop = 1 643 loop = 1
586 C_ARGS: evapi.default_loop, flags 644 C_ARGS: evapi.default_loop, flags
587 645
588void ev_break (int how = EVBREAK_ONE) 646void ev_break (int how = EVBREAK_ONE)
594 C_ARGS: evapi.default_loop, fd, revents 652 C_ARGS: evapi.default_loop, fd, revents
595 653
596void ev_feed_signal_event (SV *signal) 654void ev_feed_signal_event (SV *signal)
597 CODE: 655 CODE:
598{ 656{
599 Signal signum = s_signum (signal); 657 Signal signum = s_signum (signal);
600 CHECK_SIG (signal, signum); 658 CHECK_SIG (signal, signum);
601 659
602 ev_feed_signal_event (evapi.default_loop, signum); 660 ev_feed_signal_event (evapi.default_loop, signum);
603} 661}
604 662
648 periodic_ns = 1 706 periodic_ns = 1
649 INIT: 707 INIT:
650 CHECK_REPEAT (interval); 708 CHECK_REPEAT (interval);
651 CODE: 709 CODE:
652{ 710{
653 ev_periodic *w; 711 ev_periodic *w;
654 w = e_new (sizeof (ev_periodic), cb, default_loop_sv); 712 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
655 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 713 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
656 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0); 714 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
657 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 715 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
658 if (!ix) START (periodic, w); 716 if (!ix) START (periodic, w);
663ev_signal *signal (SV *signal, SV *cb) 721ev_signal *signal (SV *signal, SV *cb)
664 ALIAS: 722 ALIAS:
665 signal_ns = 1 723 signal_ns = 1
666 CODE: 724 CODE:
667{ 725{
668 Signal signum = s_signum (signal); 726 Signal signum = s_signum (signal);
669 CHECK_SIG (signal, signum); 727 CHECK_SIG (signal, signum);
670 728
671 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv); 729 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
672 ev_signal_set (RETVAL, signum); 730 ev_signal_set (RETVAL, signum);
673 if (!ix) START_SIGNAL (RETVAL); 731 if (!ix) START_SIGNAL (RETVAL);
713 ev_fork_set (RETVAL); 771 ev_fork_set (RETVAL);
714 if (!ix) START (fork, RETVAL); 772 if (!ix) START (fork, RETVAL);
715 OUTPUT: 773 OUTPUT:
716 RETVAL 774 RETVAL
717 775
776#if CLEANUP_ENABLED
777
778ev_cleanup *cleanup (SV *cb)
779 ALIAS:
780 cleanup_ns = 1
781 CODE:
782 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
783 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
784 ev_cleanup_set (RETVAL);
785 if (!ix) START (cleanup, RETVAL);
786 OUTPUT:
787 RETVAL
788
789#endif
718 790
719ev_child *child (int pid, int trace, SV *cb) 791ev_child *child (int pid, int trace, SV *cb)
720 ALIAS: 792 ALIAS:
721 child_ns = 1 793 child_ns = 1
722 CODE: 794 CODE:
740 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval); 812 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
741 if (!ix) START (stat, RETVAL); 813 if (!ix) START (stat, RETVAL);
742 OUTPUT: 814 OUTPUT:
743 RETVAL 815 RETVAL
744 816
817#ifndef EV_NO_LOOPS
818
745ev_embed *embed (struct ev_loop *loop, SV *cb = 0) 819ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
746 ALIAS: 820 ALIAS:
747 embed_ns = 1 821 embed_ns = 1
748 CODE: 822 CODE:
749{ 823{
755 ev_embed_set (RETVAL, loop); 829 ev_embed_set (RETVAL, loop);
756 if (!ix) START (embed, RETVAL); 830 if (!ix) START (embed, RETVAL);
757} 831}
758 OUTPUT: 832 OUTPUT:
759 RETVAL 833 RETVAL
834
835#endif
760 836
761ev_async *async (SV *cb) 837ev_async *async (SV *cb)
762 ALIAS: 838 ALIAS:
763 async_ns = 1 839 async_ns = 1
764 CODE: 840 CODE:
926 CODE: 1002 CODE:
927{ 1003{
928 RETVAL = w->events; 1004 RETVAL = w->events;
929 1005
930 if (items > 1) 1006 if (items > 1)
931 RESET (io, w, (w, w->fd, new_events)); 1007 {
1008 PAUSE (io);
1009 ev_io_modify (w, new_events);
1010 RESUME (io);
1011 }
932} 1012}
933 OUTPUT: 1013 OUTPUT:
934 RETVAL 1014 RETVAL
935 1015
936MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1016MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
983 1063
984void ev_timer_stop (ev_timer *w) 1064void ev_timer_stop (ev_timer *w)
985 CODE: 1065 CODE:
986 STOP (timer, w); 1066 STOP (timer, w);
987 1067
988void ev_timer_again (ev_timer *w) 1068void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
989 INIT: 1069 CODE:
1070 if (items > 1)
1071 w->repeat = repeat;
990 CHECK_REPEAT (w->repeat); 1072 CHECK_REPEAT (w->repeat);
991 CODE:
992 ev_timer_again (e_loop (w), w); 1073 ev_timer_again (e_loop (w), w);
993 UNREF (w); 1074 UNREF (w);
994 1075
995NV ev_timer_remaining (ev_timer *w) 1076NV ev_timer_remaining (ev_timer *w)
996 C_ARGS: e_loop (w), w 1077 C_ARGS: e_loop (w), w
1102 1183
1103void DESTROY (ev_fork *w) 1184void DESTROY (ev_fork *w)
1104 CODE: 1185 CODE:
1105 STOP (fork, w); 1186 STOP (fork, w);
1106 e_destroy (w); 1187 e_destroy (w);
1188
1189#if CLEANUP_ENABLED
1190
1191MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1192
1193void ev_cleanup_start (ev_cleanup *w)
1194 CODE:
1195 START (cleanup, w);
1196
1197void ev_cleanup_stop (ev_cleanup *w)
1198 CODE:
1199 STOP (cleanup, w);
1200
1201void DESTROY (ev_cleanup *w)
1202 CODE:
1203 STOP (cleanup, w);
1204 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1205 e_destroy (w);
1206
1207int keepalive (ev_watcher *w, SV *new_value = 0)
1208 CODE:
1209 RETVAL = 1;
1210 OUTPUT:
1211 RETVAL
1212
1213#endif
1107 1214
1108MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1215MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1109 1216
1110#if EV_CHILD_ENABLE 1217#if EV_CHILD_ENABLE
1111 1218
1286 CODE: 1393 CODE:
1287 RETVAL = boolSV (ev_async_pending (w)); 1394 RETVAL = boolSV (ev_async_pending (w));
1288 OUTPUT: 1395 OUTPUT:
1289 RETVAL 1396 RETVAL
1290 1397
1398#ifndef EV_NO_LOOPS
1399
1291MODULE = EV PACKAGE = EV::Loop PREFIX = ev_ 1400MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1292 1401
1293SV *new (SV *klass, unsigned int flags = 0) 1402SV *new (SV *klass, unsigned int flags = 0)
1294 CODE: 1403 CODE:
1295{ 1404{
1303 OUTPUT: 1412 OUTPUT:
1304 RETVAL 1413 RETVAL
1305 1414
1306void DESTROY (struct ev_loop *loop) 1415void DESTROY (struct ev_loop *loop)
1307 CODE: 1416 CODE:
1308 if (loop != evapi.default_loop) /* global destruction sucks */ 1417 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1418 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1419 if (loop != evapi.default_loop)
1309 ev_loop_destroy (loop); 1420 ev_loop_destroy (loop);
1310 1421
1311void ev_loop_fork (struct ev_loop *loop) 1422void ev_loop_fork (struct ev_loop *loop)
1312 1423
1313NV ev_now (struct ev_loop *loop) 1424NV ev_now (struct ev_loop *loop)
1334 1445
1335unsigned int ev_depth (struct ev_loop *loop) 1446unsigned int ev_depth (struct ev_loop *loop)
1336 ALIAS: 1447 ALIAS:
1337 loop_depth = 1 1448 loop_depth = 1
1338 1449
1339void ev_run (struct ev_loop *loop, int flags = 0) 1450int ev_run (struct ev_loop *loop, int flags = 0)
1340 ALIAS: 1451 ALIAS:
1341 loop = 1 1452 loop = 1
1342 1453
1343void ev_break (struct ev_loop *loop, int how = 1) 1454void ev_break (struct ev_loop *loop, int how = 1)
1344 ALIAS: 1455 ALIAS:
1353#if 0 1464#if 0
1354 1465
1355void ev_feed_signal_event (struct ev_loop *loop, SV *signal) 1466void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1356 CODE: 1467 CODE:
1357{ 1468{
1358 Signal signum = s_signum (signal); 1469 Signal signum = s_signum (signal);
1359 CHECK_SIG (signal, signum); 1470 CHECK_SIG (signal, signum);
1360 1471
1361 ev_feed_signal_event (loop, signum); 1472 ev_feed_signal_event (loop, signum);
1362} 1473}
1363 1474
1396 periodic_ns = 1 1507 periodic_ns = 1
1397 INIT: 1508 INIT:
1398 CHECK_REPEAT (interval); 1509 CHECK_REPEAT (interval);
1399 CODE: 1510 CODE:
1400{ 1511{
1401 ev_periodic *w; 1512 ev_periodic *w;
1402 w = e_new (sizeof (ev_periodic), cb, ST (0)); 1513 w = e_new (sizeof (ev_periodic), cb, ST (0));
1403 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1514 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1404 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0); 1515 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1405 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 1516 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1406 if (!ix) START (periodic, w); 1517 if (!ix) START (periodic, w);
1411ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb) 1522ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1412 ALIAS: 1523 ALIAS:
1413 signal_ns = 1 1524 signal_ns = 1
1414 CODE: 1525 CODE:
1415{ 1526{
1416 Signal signum = s_signum (signal); 1527 Signal signum = s_signum (signal);
1417 CHECK_SIG (signal, signum); 1528 CHECK_SIG (signal, signum);
1418 1529
1419 RETVAL = e_new (sizeof (ev_signal), cb, ST (0)); 1530 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1420 ev_signal_set (RETVAL, signum); 1531 ev_signal_set (RETVAL, signum);
1421 if (!ix) START_SIGNAL (RETVAL); 1532 if (!ix) START_SIGNAL (RETVAL);
1461 ev_fork_set (RETVAL); 1572 ev_fork_set (RETVAL);
1462 if (!ix) START (fork, RETVAL); 1573 if (!ix) START (fork, RETVAL);
1463 OUTPUT: 1574 OUTPUT:
1464 RETVAL 1575 RETVAL
1465 1576
1577#if CLEANUP_ENABLED
1578
1579ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1580 ALIAS:
1581 cleanup_ns = 1
1582 CODE:
1583 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1584 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1585 ev_cleanup_set (RETVAL);
1586 if (!ix) START (cleanup, RETVAL);
1587 OUTPUT:
1588 RETVAL
1589
1590#endif
1466 1591
1467ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb) 1592ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1468 ALIAS: 1593 ALIAS:
1469 child_ns = 1 1594 child_ns = 1
1470 CODE: 1595 CODE:
1523 SvOK (timeout) ? SvNV (timeout) : -1., 1648 SvOK (timeout) ? SvNV (timeout) : -1.,
1524 e_once_cb, 1649 e_once_cb,
1525 newSVsv (cb) 1650 newSVsv (cb)
1526 ); 1651 );
1527 1652
1653#endif
1654

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines