ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.85 by root, Sat Dec 1 22:51:34 2007 UTC vs.
Revision 1.178 by root, Wed Jan 22 17:02:40 2020 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/ 5/* fix perl api breakage */
6#ifndef WIN32
7# undef signal
8# undef sigaction
9#endif
6 10
11#include "schmorp.h"
12
13/* old API compatibility */
14static int
15sv_fileno (SV *fh)
16{
17 return s_fileno (fh, 0);
18}
19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26# include <assert.h>
27#endif
28
29#define EV_STANDALONE 1
7#define EV_PROTOTYPES 1 30#define EV_PROTOTYPES 1
31#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
32#define EV_USE_FLOOR 1
33#define EV_API_STATIC
34#define EV_H "../libev/ev.h"
35#define EV_CONFIG_H error
8#include "EV/EVAPI.h" 36#include "EV/EVAPI.h"
9
10/* fix perl api breakage */
11#undef signal
12#undef sigaction
13 37
14#define EV_SELECT_IS_WINSOCKET 0 38#define EV_SELECT_IS_WINSOCKET 0
15#ifdef _WIN32 39#ifdef _WIN32
16# define EV_SELECT_USE_FD_SET 0 40# define EV_SELECT_USE_FD_SET 0
17# define NFDBITS PERL_NFDBITS 41# define NFDBITS PERL_NFDBITS
18# define fd_mask Perl_fd_mask 42# define fd_mask Perl_fd_mask
19#endif 43#endif
20/* due to bugs in OS X we have to use libev/ explicitly here */ 44/* due to bugs in OS X we have to use libev/ explicitly here */
21#include "libev/ev.c" 45#include "libev/ev.c"
22#include "event.c"
23 46
24#ifndef _WIN32 47#if !defined _WIN32 && !defined __minix && !EV_NO_ATFORK
25# include <pthread.h> 48# include <pthread.h>
26#endif 49#endif
27 50
51#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
52#define e_flags(w) ((ev_watcher *)(w))->e_flags
53#define e_self(w) ((ev_watcher *)(w))->self
54#define e_fh(w) ((ev_watcher *)(w))->fh
55#define e_data(w) ((ev_watcher *)(w))->data
56
28#define WFLAG_KEEPALIVE 1 57#define WFLAG_KEEPALIVE 1
58#define WFLAG_UNREFED 2 /* has been unref'ed */
29 59
30#define UNREF(w) \ 60#define UNREF(w) \
31 if (!((w)->flags & WFLAG_KEEPALIVE) \ 61 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
32 && !ev_is_active (w)) \ 62 && ev_is_active (w)) \
33 ev_unref (); 63 { \
64 ev_unref (e_loop (w)); \
65 e_flags (w) |= WFLAG_UNREFED; \
66 }
34 67
35#define REF(w) \ 68#define REF(w) \
36 if (!((w)->flags & WFLAG_KEEPALIVE) \ 69 if (e_flags (w) & WFLAG_UNREFED) \
37 && ev_is_active (w)) \ 70 { \
38 ev_ref (); 71 e_flags (w) &= ~WFLAG_UNREFED; \
72 ev_ref (e_loop (w)); \
73 }
39 74
40#define START(type,w) \ 75#define START(type,w) \
41 do { \ 76 do { \
77 ev_ ## type ## _start (e_loop (w), w); \
42 UNREF (w); \ 78 UNREF (w); \
43 ev_ ## type ## _start (w); \
44 } while (0) 79 } while (0)
45 80
46#define STOP(type,w) \ 81#define STOP(type,w) \
47 do { \ 82 do { \
48 REF (w); \ 83 REF (w); \
49 ev_ ## type ## _stop (w); \ 84 ev_ ## type ## _stop (e_loop (w), w); \
50 } while (0) 85 } while (0)
51 86
87#define PAUSE(type) \
88 do { \
89 int active = ev_is_active (w); \
90 if (active) STOP (type, w)
91
92#define RESUME(type) \
93 if (active) START (type, w); \
94 } while (0)
95
96
52#define RESET(type,w,seta) \ 97#define RESET(type,w,seta) \
53 do { \ 98 PAUSE (type); \
54 int active = ev_is_active (w); \
55 if (active) STOP (type, w); \
56 ev_ ## type ## _set seta; \ 99 ev_ ## type ## _set seta; \
57 if (active) START (type, w); \ 100 RESUME (type)
58 } while (0)
59 101
60typedef int Signal; 102typedef int Signal;
61 103
104/* horrible... */
105#define CHECK_SIGNAL_CAN_START(w) \
106 do { \
107 /* dive into the internals of libev to avoid aborting in libev */ \
108 if (signals [(w)->signum - 1].loop \
109 && signals [(w)->signum - 1].loop != e_loop (w)) \
110 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
111 } while (0)
112
113#define START_SIGNAL(w) \
114 do { \
115 CHECK_SIGNAL_CAN_START (w); \
116 START (signal, w); \
117 } while (0) \
118
119#define RESET_SIGNAL(w,seta) \
120 do { \
121 int active = ev_is_active (w); \
122 if (active) STOP (signal, w); \
123 ev_ ## signal ## _set seta; \
124 if (active) START_SIGNAL (w); \
125 } while (0)
126
127static SV *default_loop_sv;
128
62static struct EVAPI evapi; 129static struct EVAPI evapi;
63 130
64static HV 131static HV
132 *stash_loop,
65 *stash_watcher, 133 *stash_watcher,
66 *stash_io, 134 *stash_io,
67 *stash_timer, 135 *stash_timer,
68 *stash_periodic, 136 *stash_periodic,
69 *stash_signal, 137 *stash_signal,
71 *stash_stat, 139 *stash_stat,
72 *stash_idle, 140 *stash_idle,
73 *stash_prepare, 141 *stash_prepare,
74 *stash_check, 142 *stash_check,
75 *stash_embed, 143 *stash_embed,
76 *stash_fork; 144 *stash_fork,
77 145 *stash_cleanup,
78#ifndef SIG_SIZE 146 *stash_async;
79/* kudos to Slaven Rezic for the idea */
80static char sig_size [] = { SIG_NUM };
81# define SIG_SIZE (sizeof (sig_size) + 1)
82#endif
83
84static Signal
85sv_signum (SV *sig)
86{
87 Signal signum;
88
89 SvGETMAGIC (sig);
90
91 for (signum = 1; signum < SIG_SIZE; ++signum)
92 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
93 return signum;
94
95 signum = SvIV (sig);
96
97 if (signum > 0 && signum < SIG_SIZE)
98 return signum;
99
100 return -1;
101}
102 147
103///////////////////////////////////////////////////////////////////////////// 148/////////////////////////////////////////////////////////////////////////////
104// Event 149// Event
105 150
106static void e_cb (ev_watcher *w, int revents); 151static void e_cb (EV_P_ ev_watcher *w, int revents);
107
108static int
109sv_fileno (SV *fh)
110{
111 SvGETMAGIC (fh);
112
113 if (SvROK (fh))
114 fh = SvRV (fh);
115
116 if (SvTYPE (fh) == SVt_PVGV)
117 return PerlIO_fileno (IoIFP (sv_2io (fh)));
118
119 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
120 return SvIV (fh);
121
122 return -1;
123}
124 152
125static void * 153static void *
126e_new (int size, SV *cb_sv) 154e_new (int size, SV *cb_sv, SV *loop)
127{ 155{
156 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
128 ev_watcher *w; 157 ev_watcher *w;
129 SV *self = NEWSV (0, size); 158 SV *self = NEWSV (0, size);
130 SvPOK_only (self); 159 SvPOK_only (self);
131 SvCUR_set (self, size); 160 SvCUR_set (self, size);
132 161
133 w = (ev_watcher *)SvPVX (self); 162 w = (ev_watcher *)SvPVX (self);
134 163
135 ev_init (w, e_cb); 164 ev_init (w, cv ? e_cb : 0);
136 165
166 w->loop = SvREFCNT_inc (SvRV (loop));
137 w->flags = WFLAG_KEEPALIVE; 167 w->e_flags = WFLAG_KEEPALIVE;
138 w->data = 0; 168 w->data = 0;
139 w->fh = 0; 169 w->fh = 0;
140 w->cb_sv = newSVsv (cb_sv); 170 w->cb_sv = SvREFCNT_inc (cv);
141 w->self = self; 171 w->self = self;
142 172
143 return (void *)w; 173 return (void *)w;
144} 174}
145 175
146static void 176static void
147e_destroy (void *w_) 177e_destroy (void *w_)
148{ 178{
149 ev_watcher *w = (ev_watcher *)w_; 179 ev_watcher *w = (ev_watcher *)w_;
150 180
181 SvREFCNT_dec (w->loop ); w->loop = 0;
151 SvREFCNT_dec (w->fh ); w->fh = 0; 182 SvREFCNT_dec (w->fh ); w->fh = 0;
152 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0; 183 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0;
153 SvREFCNT_dec (w->data ); w->data = 0; 184 SvREFCNT_dec (w->data ); w->data = 0;
154} 185}
155 186
168 } 199 }
169 200
170 return rv; 201 return rv;
171} 202}
172 203
173static SV *sv_events_cache; 204static SV *sv_self_cache, *sv_events_cache;
174 205
175static void 206static void
176e_cb (ev_watcher *w, int revents) 207e_cb (EV_P_ ev_watcher *w, int revents)
177{ 208{
178 dSP; 209 dSP;
179 I32 mark = SP - PL_stack_base; 210 I32 mark = SP - PL_stack_base;
180 SV *sv_self, *sv_events; 211 SV *sv_self, *sv_events;
181 212
213 /* libev might have stopped the watcher */
214 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
215 && !ev_is_active (w))
216 REF (w);
217
218 if (ecb_expect_true (sv_self_cache))
219 {
220 sv_self = sv_self_cache; sv_self_cache = 0;
221 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
222 }
223 else
224 {
182 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 225 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
226 SvREADONLY_on (sv_self);
227 }
228
229 if (ecb_expect_true (sv_events_cache))
230 {
231 sv_events = sv_events_cache; sv_events_cache = 0;
232 SvIV_set (sv_events, revents);
233 SvIOK_only (sv_events);
234 }
235 else
236 {
237 sv_events = newSViv (revents);
238 SvREADONLY_on (sv_events);
239 }
240
241 PUSHMARK (SP);
242 EXTEND (SP, 2);
243 PUSHs (sv_self);
244 PUSHs (sv_events);
245
246 PUTBACK;
247 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
248
249 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
250 SvREFCNT_dec (sv_self);
251 else
252 {
253 SvREFCNT_dec (SvRV (sv_self));
254 SvRV_set (sv_self, &PL_sv_undef);
255 sv_self_cache = sv_self;
256 }
257
258 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
259 SvREFCNT_dec (sv_events);
260 else
261 sv_events_cache = sv_events;
262
263 if (ecb_expect_false (SvTRUE (ERRSV)))
264 {
265 SPAGAIN;
266 PUSHMARK (SP);
267 PUTBACK;
268 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
269 }
270
271 SP = PL_stack_base + mark;
272 PUTBACK;
273}
274
275static void
276e_once_cb (int revents, void *arg)
277{
278 dSP;
279 I32 mark = SP - PL_stack_base;
280 SV *sv_events;
183 281
184 if (sv_events_cache) 282 if (sv_events_cache)
185 { 283 {
186 sv_events = sv_events_cache; sv_events_cache = 0; 284 sv_events = sv_events_cache; sv_events_cache = 0;
187 SvIV_set (sv_events, revents); 285 SvIV_set (sv_events, revents);
188 } 286 }
189 else 287 else
190 sv_events = newSViv (revents); 288 sv_events = newSViv (revents);
191 289
192 PUSHMARK (SP); 290 PUSHMARK (SP);
193 EXTEND (SP, 2);
194 PUSHs (sv_self);
195 PUSHs (sv_events); 291 XPUSHs (sv_events);
196 292
197 PUTBACK; 293 PUTBACK;
198 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 294 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
199 295
200 SvREFCNT_dec (sv_self); 296 SvREFCNT_dec ((SV *)arg);
201 297
202 if (sv_events_cache) 298 if (sv_events_cache)
203 SvREFCNT_dec (sv_events); 299 SvREFCNT_dec (sv_events);
204 else 300 else
205 sv_events_cache = sv_events; 301 sv_events_cache = sv_events;
214 310
215 SP = PL_stack_base + mark; 311 SP = PL_stack_base + mark;
216 PUTBACK; 312 PUTBACK;
217} 313}
218 314
219static void
220e_once_cb (int revents, void *arg)
221{
222 dSP;
223 I32 mark = SP - PL_stack_base;
224 SV *sv_events;
225
226 if (sv_events_cache)
227 {
228 sv_events = sv_events_cache; sv_events_cache = 0;
229 SvIV_set (sv_events, revents);
230 }
231 else
232 sv_events = newSViv (revents);
233
234 PUSHMARK (SP);
235 XPUSHs (sv_events);
236
237 PUTBACK;
238 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
239
240 SvREFCNT_dec ((SV *)arg);
241
242 if (sv_events_cache)
243 SvREFCNT_dec (sv_events);
244 else
245 sv_events_cache = sv_events;
246
247 if (SvTRUE (ERRSV))
248 {
249 PUSHMARK (SP);
250 PUTBACK;
251 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
252 }
253
254 SP = PL_stack_base + mark;
255 PUTBACK;
256}
257
258static ev_tstamp 315static ev_tstamp
259e_periodic_cb (ev_periodic *w, ev_tstamp now) 316e_periodic_cb (ev_periodic *w, ev_tstamp now)
260{ 317{
261 ev_tstamp retval; 318 ev_tstamp retval;
262 int count; 319 int count;
265 ENTER; 322 ENTER;
266 SAVETMPS; 323 SAVETMPS;
267 324
268 PUSHMARK (SP); 325 PUSHMARK (SP);
269 EXTEND (SP, 2); 326 EXTEND (SP, 2);
270 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 327 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
271 PUSHs (newSVnv (now)); 328 PUSHs (newSVnv (now));
272 329
273 PUTBACK; 330 PUTBACK;
274 count = call_sv (w->fh, G_SCALAR | G_EVAL); 331 count = call_sv (w->fh, G_SCALAR | G_EVAL);
275 SPAGAIN; 332 SPAGAIN;
305 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 362 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
306 363
307#define CHECK_SIG(sv,num) if ((num) < 0) \ 364#define CHECK_SIG(sv,num) if ((num) < 0) \
308 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 365 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
309 366
367static void
368default_fork (void)
369{
370 ev_loop_fork (EV_DEFAULT_UC);
371}
372
310///////////////////////////////////////////////////////////////////////////// 373/////////////////////////////////////////////////////////////////////////////
311// XS interface functions 374// XS interface functions
312 375
313MODULE = EV PACKAGE = EV PREFIX = ev_ 376MODULE = EV PACKAGE = EV PREFIX = ev_
314 377
326 const_iv (EV_, MINPRI) 389 const_iv (EV_, MINPRI)
327 const_iv (EV_, MAXPRI) 390 const_iv (EV_, MAXPRI)
328 391
329 const_iv (EV_, UNDEF) 392 const_iv (EV_, UNDEF)
330 const_iv (EV_, NONE) 393 const_iv (EV_, NONE)
331 const_iv (EV_, TIMEOUT)
332 const_iv (EV_, READ) 394 const_iv (EV_, READ)
333 const_iv (EV_, WRITE) 395 const_iv (EV_, WRITE)
396 const_iv (EV_, IO)
397 const_iv (EV_, TIMER)
398 const_iv (EV_, PERIODIC)
334 const_iv (EV_, SIGNAL) 399 const_iv (EV_, SIGNAL)
400 const_iv (EV_, CHILD)
401 const_iv (EV_, STAT)
335 const_iv (EV_, IDLE) 402 const_iv (EV_, IDLE)
403 const_iv (EV_, PREPARE)
404 /*const_iv (EV_, CHECK) needs special tretament */
405 const_iv (EV_, EMBED)
336 const_iv (EV_, CHECK) 406 const_iv (EV_, FORK)
407 const_iv (EV_, CLEANUP)
408 const_iv (EV_, ASYNC)
409 const_iv (EV_, CUSTOM)
337 const_iv (EV_, ERROR) 410 const_iv (EV_, ERROR)
338 411
339 const_iv (EV, LOOP_ONESHOT) 412 const_iv (EV, RUN_NOWAIT)
340 const_iv (EV, LOOP_NONBLOCK)
341 const_iv (EV, UNLOOP_ONE) 413 const_iv (EV, RUN_ONCE)
414
415 const_iv (EV, BREAK_CANCEL)
416 const_iv (EV, BREAK_ONE)
342 const_iv (EV, UNLOOP_ALL) 417 const_iv (EV, BREAK_ALL)
343
344 const_iv (EV, BACKEND_SELECT) 418 const_iv (EV, BACKEND_SELECT)
345 const_iv (EV, BACKEND_POLL) 419 const_iv (EV, BACKEND_POLL)
346 const_iv (EV, BACKEND_EPOLL) 420 const_iv (EV, BACKEND_EPOLL)
347 const_iv (EV, BACKEND_KQUEUE) 421 const_iv (EV, BACKEND_KQUEUE)
348 const_iv (EV, BACKEND_DEVPOLL) 422 const_iv (EV, BACKEND_DEVPOLL)
349 const_iv (EV, BACKEND_PORT) 423 const_iv (EV, BACKEND_PORT)
424 const_iv (EV, BACKEND_ALL)
425 const_iv (EV, BACKEND_MASK)
350 const_iv (EV, FLAG_AUTO) 426 const_iv (EV, FLAG_AUTO)
427 const_iv (EV, FLAG_FORKCHECK)
428 const_iv (EV, FLAG_SIGNALFD)
429 const_iv (EV, FLAG_NOSIGMASK)
351 const_iv (EV, FLAG_NOENV) 430 const_iv (EV, FLAG_NOENV)
352 const_iv (EV, FLAG_FORKCHECK) 431 const_iv (EV, FLAG_NOINOTIFY)
432
433 const_iv (EV_, VERSION_MAJOR)
434 const_iv (EV_, VERSION_MINOR)
435#if EV_COMPAT3
436 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
437 const_iv (EV_, TIMEOUT)
438 const_iv (EV, LOOP_NONBLOCK)
439 const_iv (EV, LOOP_ONESHOT)
440 const_iv (EV, UNLOOP_CANCEL)
441 const_iv (EV, UNLOOP_ONE)
442 const_iv (EV, UNLOOP_ALL)
443#endif
353 }; 444 };
354 445
355 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 446 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
356 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 447 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
357 448
449 /* since this clashes with perl CHECK blocks, */
450 /* but we are interested in constants, */
451 /* and not blocks, we treat CHECK specially. */
452 {
453 /* the local $^W = 0 takes care of the warning */
454 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
455 /* now we need to re-set the gv, in case it was hijacked */
456 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
457 }
458
459 stash_loop = gv_stashpv ("EV::Loop" , 1);
358 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 460 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
359 stash_io = gv_stashpv ("EV::IO" , 1); 461 stash_io = gv_stashpv ("EV::IO" , 1);
360 stash_timer = gv_stashpv ("EV::Timer" , 1); 462 stash_timer = gv_stashpv ("EV::Timer" , 1);
361 stash_periodic = gv_stashpv ("EV::Periodic", 1); 463 stash_periodic = gv_stashpv ("EV::Periodic", 1);
362 stash_signal = gv_stashpv ("EV::Signal" , 1); 464 stash_signal = gv_stashpv ("EV::Signal" , 1);
364 stash_prepare = gv_stashpv ("EV::Prepare" , 1); 466 stash_prepare = gv_stashpv ("EV::Prepare" , 1);
365 stash_check = gv_stashpv ("EV::Check" , 1); 467 stash_check = gv_stashpv ("EV::Check" , 1);
366 stash_child = gv_stashpv ("EV::Child" , 1); 468 stash_child = gv_stashpv ("EV::Child" , 1);
367 stash_embed = gv_stashpv ("EV::Embed" , 1); 469 stash_embed = gv_stashpv ("EV::Embed" , 1);
368 stash_stat = gv_stashpv ("EV::Stat" , 1); 470 stash_stat = gv_stashpv ("EV::Stat" , 1);
471 stash_fork = gv_stashpv ("EV::Fork" , 1);
472 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
473 stash_async = gv_stashpv ("EV::Async" , 1);
369 474
370 { 475 {
371 SV *sv = perl_get_sv ("EV::API", TRUE); 476 SV *sv = perl_get_sv ("EV::API", TRUE);
372 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 477 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
373 478
374 /* the poor man's shared library emulator */ 479 /* the poor man's shared library emulator */
375 evapi.ver = EV_API_VERSION; 480 evapi.ver = EV_API_VERSION;
376 evapi.rev = EV_API_REVISION; 481 evapi.rev = EV_API_REVISION;
377 evapi.sv_fileno = sv_fileno; 482 evapi.sv_fileno = sv_fileno;
378 evapi.sv_signum = sv_signum; 483 evapi.sv_signum = s_signum;
484 evapi.supported_backends = ev_supported_backends ();
485 evapi.recommended_backends = ev_recommended_backends ();
486 evapi.embeddable_backends = ev_embeddable_backends ();
487 evapi.time_ = ev_time;
488 evapi.sleep_ = ev_sleep;
489 evapi.loop_new = ev_loop_new;
490 evapi.loop_destroy = ev_loop_destroy;
491 evapi.loop_fork = ev_loop_fork;
492 evapi.iteration = ev_iteration;
493 evapi.depth = ev_depth;
494 evapi.set_userdata = ev_set_userdata;
495 evapi.userdata = ev_userdata;
379 evapi.now = ev_now; 496 evapi.now = ev_now;
497 evapi.now_update = ev_now_update;
498 evapi.suspend = ev_suspend;
499 evapi.resume = ev_resume;
380 evapi.backend = ev_backend; 500 evapi.backend = ev_backend;
381 evapi.unloop = ev_unloop; 501 evapi.break_ = ev_break;
502 evapi.invoke_pending = ev_invoke_pending;
503 evapi.pending_count = ev_pending_count;
504 evapi.verify = ev_verify;
505 evapi.set_loop_release_cb = ev_set_loop_release_cb;
506 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
382 evapi.ref = ev_ref; 507 evapi.ref = ev_ref;
383 evapi.unref = ev_unref; 508 evapi.unref = ev_unref;
384 evapi.time = ev_time; 509 evapi.run = ev_run;
385 evapi.loop = ev_loop;
386 evapi.once = ev_once; 510 evapi.once = ev_once;
387 evapi.io_start = ev_io_start; 511 evapi.io_start = ev_io_start;
388 evapi.io_stop = ev_io_stop; 512 evapi.io_stop = ev_io_stop;
389 evapi.timer_start = ev_timer_start; 513 evapi.timer_start = ev_timer_start;
390 evapi.timer_stop = ev_timer_stop; 514 evapi.timer_stop = ev_timer_stop;
391 evapi.timer_again = ev_timer_again; 515 evapi.timer_again = ev_timer_again;
516 evapi.timer_remaining = ev_timer_remaining;
392 evapi.periodic_start = ev_periodic_start; 517 evapi.periodic_start = ev_periodic_start;
393 evapi.periodic_stop = ev_periodic_stop; 518 evapi.periodic_stop = ev_periodic_stop;
394 evapi.signal_start = ev_signal_start; 519 evapi.signal_start = ev_signal_start;
395 evapi.signal_stop = ev_signal_stop; 520 evapi.signal_stop = ev_signal_stop;
396 evapi.idle_start = ev_idle_start; 521 evapi.idle_start = ev_idle_start;
397 evapi.idle_stop = ev_idle_stop; 522 evapi.idle_stop = ev_idle_stop;
398 evapi.prepare_start = ev_prepare_start; 523 evapi.prepare_start = ev_prepare_start;
399 evapi.prepare_stop = ev_prepare_stop; 524 evapi.prepare_stop = ev_prepare_stop;
400 evapi.check_start = ev_check_start; 525 evapi.check_start = ev_check_start;
401 evapi.check_stop = ev_check_stop; 526 evapi.check_stop = ev_check_stop;
527#if EV_CHILD_ENABLE
402 evapi.child_start = ev_child_start; 528 evapi.child_start = ev_child_start;
403 evapi.child_stop = ev_child_stop; 529 evapi.child_stop = ev_child_stop;
530#endif
404 evapi.stat_start = ev_stat_start; 531 evapi.stat_start = ev_stat_start;
405 evapi.stat_stop = ev_stat_stop; 532 evapi.stat_stop = ev_stat_stop;
406 evapi.stat_stat = ev_stat_stat; 533 evapi.stat_stat = ev_stat_stat;
534 evapi.embed_start = ev_embed_start;
535 evapi.embed_stop = ev_embed_stop;
536 evapi.embed_sweep = ev_embed_sweep;
537 evapi.fork_start = ev_fork_start;
538 evapi.fork_stop = ev_fork_stop;
539 evapi.cleanup_start = ev_cleanup_start;
540 evapi.cleanup_stop = ev_cleanup_stop;
541 evapi.async_start = ev_async_start;
542 evapi.async_stop = ev_async_stop;
543 evapi.async_send = ev_async_send;
544 evapi.clear_pending = ev_clear_pending;
545 evapi.invoke = ev_invoke;
407 546
408 sv_setiv (sv, (IV)&evapi); 547 sv_setiv (sv, (IV)&evapi);
409 SvREADONLY_on (sv); 548 SvREADONLY_on (sv);
410 } 549 }
411#ifndef _WIN32 550#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
551/* unfortunately, musl neither implements the linux standard base,
552/* nor makes itself detectable via macros. yeah, right... */
553#if __linux && (__GLIBC__ || __UCLIBC__)
554 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
555 __register_atfork (0, 0, default_fork, 0);
556#else
412 pthread_atfork (0, 0, ev_default_fork); 557 pthread_atfork (0, 0, default_fork);
413#endif 558#endif
559#endif
560}
561
562SV *ev_default_loop (unsigned int flags = 0)
563 CODE:
564{
565 if (!default_loop_sv)
566 {
567 evapi.default_loop = ev_default_loop (flags);
568
569 if (!evapi.default_loop)
570 XSRETURN_UNDEF;
571
572 default_loop_sv = sv_bless (newRV_noinc (newSViv (PTR2IV (evapi.default_loop))), stash_loop);
573 }
574
575 RETVAL = newSVsv (default_loop_sv);
576}
577 OUTPUT:
578 RETVAL
579
580void ev_default_destroy ()
581 CODE:
582 ev_loop_destroy (EV_DEFAULT_UC);
583 SvREFCNT_dec (default_loop_sv);
584 default_loop_sv = 0;
585
586unsigned int ev_supported_backends ()
587
588unsigned int ev_recommended_backends ()
589
590unsigned int ev_embeddable_backends ()
591
592void ev_sleep (NV interval)
593
594NV ev_time ()
595
596void ev_feed_signal (SV *signal)
597 CODE:
598{
599 Signal signum = s_signum (signal);
600 CHECK_SIG (signal, signum);
601
602 ev_feed_signal (signum);
414} 603}
415 604
416NV ev_now () 605NV ev_now ()
606 C_ARGS: evapi.default_loop
607
608void ev_now_update ()
609 C_ARGS: evapi.default_loop
610
611void ev_suspend ()
612 C_ARGS: evapi.default_loop
613
614void ev_resume ()
615 C_ARGS: evapi.default_loop
417 616
418unsigned int ev_backend () 617unsigned int ev_backend ()
618 C_ARGS: evapi.default_loop
419 619
420NV ev_time () 620void ev_verify ()
621 ALIAS:
622 loop_verify = 1
623 C_ARGS: evapi.default_loop
421 624
422unsigned int ev_default_loop (unsigned int flags = ev_supported_backends ()) 625unsigned int ev_iteration ()
626 ALIAS:
627 loop_count = 1
628 C_ARGS: evapi.default_loop
423 629
630unsigned int ev_depth ()
631 ALIAS:
632 loop_depth = 1
633 C_ARGS: evapi.default_loop
634
635void ev_set_io_collect_interval (NV interval)
636 C_ARGS: evapi.default_loop, interval
637
638void ev_set_timeout_collect_interval (NV interval)
639 C_ARGS: evapi.default_loop, interval
640
424void ev_loop (int flags = 0) 641int ev_run (int flags = 0)
642 ALIAS:
643 loop = 1
644 C_ARGS: evapi.default_loop, flags
425 645
426void ev_unloop (int how = 1) 646void ev_break (int how = EVBREAK_ONE)
647 ALIAS:
648 unloop = 1
649 C_ARGS: evapi.default_loop, how
650
651void ev_feed_fd_event (int fd, int revents = EV_NONE)
652 C_ARGS: evapi.default_loop, fd, revents
653
654void ev_feed_signal_event (SV *signal)
655 CODE:
656{
657 Signal signum = s_signum (signal);
658 CHECK_SIG (signal, signum);
659
660 ev_feed_signal_event (evapi.default_loop, signum);
661}
662
663unsigned int ev_pending_count ()
664 C_ARGS: evapi.default_loop
665
666void ev_invoke_pending ()
667 C_ARGS: evapi.default_loop
427 668
428ev_io *io (SV *fh, int events, SV *cb) 669ev_io *io (SV *fh, int events, SV *cb)
429 ALIAS: 670 ALIAS:
430 io_ns = 1 671 io_ns = 1
672 _ae_io = 2
431 CODE: 673 CODE:
432{ 674{
433 int fd = sv_fileno (fh); 675 int fd = s_fileno (fh, events & EV_WRITE);
434 CHECK_FD (fh, fd); 676 CHECK_FD (fh, fd);
435 677
678 if (ix == 2)
679 {
680 ix = 0;
681 events = events ? EV_WRITE : EV_READ;
682 }
683
436 RETVAL = e_new (sizeof (ev_io), cb); 684 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
437 RETVAL->fh = newSVsv (fh); 685 e_fh (RETVAL) = newSVsv (fh);
438 ev_io_set (RETVAL, fd, events); 686 ev_io_set (RETVAL, fd, events);
439 if (!ix) START (io, RETVAL); 687 if (!ix) START (io, RETVAL);
440} 688}
441 OUTPUT: 689 OUTPUT:
442 RETVAL 690 RETVAL
445 ALIAS: 693 ALIAS:
446 timer_ns = 1 694 timer_ns = 1
447 INIT: 695 INIT:
448 CHECK_REPEAT (repeat); 696 CHECK_REPEAT (repeat);
449 CODE: 697 CODE:
450 RETVAL = e_new (sizeof (ev_timer), cb); 698 RETVAL = e_new (sizeof (ev_timer), cb, default_loop_sv);
451 ev_timer_set (RETVAL, after, repeat); 699 ev_timer_set (RETVAL, after, repeat);
452 if (!ix) START (timer, RETVAL); 700 if (!ix) START (timer, RETVAL);
453 OUTPUT: 701 OUTPUT:
454 RETVAL 702 RETVAL
455 703
458 periodic_ns = 1 706 periodic_ns = 1
459 INIT: 707 INIT:
460 CHECK_REPEAT (interval); 708 CHECK_REPEAT (interval);
461 CODE: 709 CODE:
462{ 710{
463 ev_periodic *w; 711 ev_periodic *w;
464 w = e_new (sizeof (ev_periodic), cb); 712 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
465 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 713 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
466 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 714 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
467 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 715 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
468 if (!ix) START (periodic, w); 716 if (!ix) START (periodic, w);
469} 717}
470 OUTPUT: 718 OUTPUT:
471 RETVAL 719 RETVAL
473ev_signal *signal (SV *signal, SV *cb) 721ev_signal *signal (SV *signal, SV *cb)
474 ALIAS: 722 ALIAS:
475 signal_ns = 1 723 signal_ns = 1
476 CODE: 724 CODE:
477{ 725{
478 Signal signum = sv_signum (signal); 726 Signal signum = s_signum (signal);
479 CHECK_SIG (signal, signum); 727 CHECK_SIG (signal, signum);
480 728
481 RETVAL = e_new (sizeof (ev_signal), cb); 729 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
482 ev_signal_set (RETVAL, signum); 730 ev_signal_set (RETVAL, signum);
483 if (!ix) START (signal, RETVAL); 731 if (!ix) START_SIGNAL (RETVAL);
484} 732}
485 OUTPUT: 733 OUTPUT:
486 RETVAL 734 RETVAL
487 735
488ev_idle *idle (SV *cb) 736ev_idle *idle (SV *cb)
489 ALIAS: 737 ALIAS:
490 idle_ns = 1 738 idle_ns = 1
491 CODE: 739 CODE:
492 RETVAL = e_new (sizeof (ev_idle), cb); 740 RETVAL = e_new (sizeof (ev_idle), cb, default_loop_sv);
493 ev_idle_set (RETVAL); 741 ev_idle_set (RETVAL);
494 if (!ix) START (idle, RETVAL); 742 if (!ix) START (idle, RETVAL);
495 OUTPUT: 743 OUTPUT:
496 RETVAL 744 RETVAL
497 745
498ev_prepare *prepare (SV *cb) 746ev_prepare *prepare (SV *cb)
499 ALIAS: 747 ALIAS:
500 prepare_ns = 1 748 prepare_ns = 1
501 CODE: 749 CODE:
502 RETVAL = e_new (sizeof (ev_prepare), cb); 750 RETVAL = e_new (sizeof (ev_prepare), cb, default_loop_sv);
503 ev_prepare_set (RETVAL); 751 ev_prepare_set (RETVAL);
504 if (!ix) START (prepare, RETVAL); 752 if (!ix) START (prepare, RETVAL);
505 OUTPUT: 753 OUTPUT:
506 RETVAL 754 RETVAL
507 755
508ev_check *check (SV *cb) 756ev_check *check (SV *cb)
509 ALIAS: 757 ALIAS:
510 check_ns = 1 758 check_ns = 1
511 CODE: 759 CODE:
512 RETVAL = e_new (sizeof (ev_check), cb); 760 RETVAL = e_new (sizeof (ev_check), cb, default_loop_sv);
513 ev_check_set (RETVAL); 761 ev_check_set (RETVAL);
514 if (!ix) START (check, RETVAL); 762 if (!ix) START (check, RETVAL);
515 OUTPUT: 763 OUTPUT:
516 RETVAL 764 RETVAL
517 765
766ev_fork *fork (SV *cb)
767 ALIAS:
768 fork_ns = 1
769 CODE:
770 RETVAL = e_new (sizeof (ev_fork), cb, default_loop_sv);
771 ev_fork_set (RETVAL);
772 if (!ix) START (fork, RETVAL);
773 OUTPUT:
774 RETVAL
775
776#if CLEANUP_ENABLED
777
778ev_cleanup *cleanup (SV *cb)
779 ALIAS:
780 cleanup_ns = 1
781 CODE:
782 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
783 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
784 ev_cleanup_set (RETVAL);
785 if (!ix) START (cleanup, RETVAL);
786 OUTPUT:
787 RETVAL
788
789#endif
790
518ev_child *child (int pid, SV *cb) 791ev_child *child (int pid, int trace, SV *cb)
519 ALIAS: 792 ALIAS:
520 child_ns = 1 793 child_ns = 1
521 CODE: 794 CODE:
795#if EV_CHILD_ENABLE
522 RETVAL = e_new (sizeof (ev_child), cb); 796 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
523 ev_child_set (RETVAL, pid); 797 ev_child_set (RETVAL, pid, trace);
524 if (!ix) START (child, RETVAL); 798 if (!ix) START (child, RETVAL);
799#else
800 croak ("EV::child watchers not supported on this platform");
801#endif
525 OUTPUT: 802 OUTPUT:
526 RETVAL 803 RETVAL
804
527 805
528ev_stat *stat (SV *path, NV interval, SV *cb) 806ev_stat *stat (SV *path, NV interval, SV *cb)
529 ALIAS: 807 ALIAS:
530 stat_ns = 1 808 stat_ns = 1
531 CODE: 809 CODE:
532 RETVAL = e_new (sizeof (ev_stat), cb); 810 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
533 RETVAL->fh = newSVsv (path); 811 e_fh (RETVAL) = newSVsv (path);
534 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 812 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
535 if (!ix) START (stat, RETVAL); 813 if (!ix) START (stat, RETVAL);
536 OUTPUT: 814 OUTPUT:
537 RETVAL 815 RETVAL
538 816
817#ifndef EV_NO_LOOPS
818
819ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
820 ALIAS:
821 embed_ns = 1
822 CODE:
823{
824 if (!(ev_backend (loop) & ev_embeddable_backends ()))
825 croak ("passed loop is not embeddable via EV::embed,");
826
827 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
828 e_fh (RETVAL) = newSVsv (ST (0));
829 ev_embed_set (RETVAL, loop);
830 if (!ix) START (embed, RETVAL);
831}
832 OUTPUT:
833 RETVAL
834
835#endif
836
837ev_async *async (SV *cb)
838 ALIAS:
839 async_ns = 1
840 CODE:
841 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
842 ev_async_set (RETVAL);
843 if (!ix) START (async, RETVAL);
844 OUTPUT:
845 RETVAL
846
539void once (SV *fh, int events, SV *timeout, SV *cb) 847void once (SV *fh, int events, SV *timeout, SV *cb)
540 CODE: 848 CODE:
541 ev_once ( 849 ev_once (
850 evapi.default_loop,
542 sv_fileno (fh), events, 851 s_fileno (fh, events & EV_WRITE), events,
543 SvOK (timeout) ? SvNV (timeout) : -1., 852 SvOK (timeout) ? SvNV (timeout) : -1.,
544 e_once_cb, 853 e_once_cb,
545 newSVsv (cb) 854 newSVsv (cb)
546 ); 855 );
547 856
551 860
552int ev_is_active (ev_watcher *w) 861int ev_is_active (ev_watcher *w)
553 862
554int ev_is_pending (ev_watcher *w) 863int ev_is_pending (ev_watcher *w)
555 864
865void ev_invoke (ev_watcher *w, int revents = EV_NONE)
866 C_ARGS: e_loop (w), w, revents
867
868int ev_clear_pending (ev_watcher *w)
869 C_ARGS: e_loop (w), w
870
871void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
872 C_ARGS: e_loop (w), w, revents
873
556int keepalive (ev_watcher *w, int new_value = 0) 874int keepalive (ev_watcher *w, int new_value = 0)
557 CODE: 875 CODE:
558{ 876{
559 RETVAL = w->flags & WFLAG_KEEPALIVE; 877 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
560 new_value = new_value ? WFLAG_KEEPALIVE : 0; 878 new_value = new_value ? WFLAG_KEEPALIVE : 0;
561 879
562 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 880 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
563 { 881 {
882 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
564 REF (w); 883 REF (w);
565 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value;
566 UNREF (w); 884 UNREF (w);
567 } 885 }
568} 886}
569 OUTPUT: 887 OUTPUT:
570 RETVAL 888 RETVAL
571 889
572SV *cb (ev_watcher *w, SV *new_cb = 0) 890SV *cb (ev_watcher *w, SV *new_cb = 0)
573 CODE: 891 CODE:
574{ 892{
575 RETVAL = newSVsv (w->cb_sv);
576
577 if (items > 1) 893 if (items > 1)
578 sv_setsv (w->cb_sv, new_cb); 894 {
895 new_cb = s_get_cv_croak (new_cb);
896 RETVAL = newRV_noinc (w->cb_sv);
897 w->cb_sv = SvREFCNT_inc (new_cb);
898 }
899 else
900 RETVAL = newRV_inc (w->cb_sv);
579} 901}
580 OUTPUT: 902 OUTPUT:
581 RETVAL 903 RETVAL
582 904
583SV *data (ev_watcher *w, SV *new_data = 0) 905SV *data (ev_watcher *w, SV *new_data = 0)
592 } 914 }
593} 915}
594 OUTPUT: 916 OUTPUT:
595 RETVAL 917 RETVAL
596 918
597void trigger (ev_watcher *w, int revents = EV_NONE) 919SV *loop (ev_watcher *w)
598 CODE: 920 CODE:
599 w->cb (w, revents); 921 RETVAL = newRV_inc (w->loop);
922 OUTPUT:
923 RETVAL
600 924
601int priority (ev_watcher *w, int new_priority = 0) 925int priority (ev_watcher *w, int new_priority = 0)
602 CODE: 926 CODE:
603{ 927{
604 RETVAL = w->priority; 928 RETVAL = w->priority;
605 929
606 if (items > 1) 930 if (items > 1)
607 { 931 {
608 int active = ev_is_active (w); 932 int active = ev_is_active (w);
609
610 if (new_priority < EV_MINPRI || new_priority > EV_MAXPRI)
611 croak ("watcher priority out of range, value must be between %d and %d, inclusive", EV_MINPRI, EV_MAXPRI);
612 933
613 if (active) 934 if (active)
614 { 935 {
615 /* grrr. */ 936 /* grrr. */
616 PUSHMARK (SP); 937 PUSHMARK (SP);
617 XPUSHs (ST (0)); 938 XPUSHs (ST (0));
939 PUTBACK;
618 call_method ("stop", G_DISCARD | G_VOID); 940 call_method ("stop", G_DISCARD | G_VOID);
619 } 941 }
620 942
621 ev_set_priority (w, new_priority); 943 ev_set_priority (w, new_priority);
622 944
623 if (active) 945 if (active)
624 { 946 {
625 PUSHMARK (SP); 947 PUSHMARK (SP);
626 XPUSHs (ST (0)); 948 XPUSHs (ST (0));
949 PUTBACK;
627 call_method ("start", G_DISCARD | G_VOID); 950 call_method ("start", G_DISCARD | G_VOID);
628 } 951 }
629 } 952 }
630} 953}
631 OUTPUT: 954 OUTPUT:
647 e_destroy (w); 970 e_destroy (w);
648 971
649void set (ev_io *w, SV *fh, int events) 972void set (ev_io *w, SV *fh, int events)
650 CODE: 973 CODE:
651{ 974{
652 int fd = sv_fileno (fh); 975 int fd = s_fileno (fh, events & EV_WRITE);
653 CHECK_FD (fh, fd); 976 CHECK_FD (fh, fd);
654 977
655 sv_setsv (w->fh, fh); 978 sv_setsv (e_fh (w), fh);
656 RESET (io, w, (w, fd, events)); 979 RESET (io, w, (w, fd, events));
657} 980}
658 981
659SV *fh (ev_io *w, SV *new_fh = 0) 982SV *fh (ev_io *w, SV *new_fh = 0)
660 CODE: 983 CODE:
661{ 984{
662 if (items > 1) 985 if (items > 1)
663 { 986 {
664 int fd = sv_fileno (new_fh); 987 int fd = s_fileno (new_fh, w->events & EV_WRITE);
665 CHECK_FD (new_fh, fd); 988 CHECK_FD (new_fh, fd);
666 989
667 RETVAL = w->fh; 990 RETVAL = e_fh (w);
668 w->fh = newSVsv (new_fh); 991 e_fh (w) = newSVsv (new_fh);
669 992
670 RESET (io, w, (w, fd, w->events)); 993 RESET (io, w, (w, fd, w->events));
671 } 994 }
672 else 995 else
673 RETVAL = newSVsv (w->fh); 996 RETVAL = newSVsv (e_fh (w));
674} 997}
675 OUTPUT: 998 OUTPUT:
676 RETVAL 999 RETVAL
677 1000
678int events (ev_io *w, int new_events = EV_UNDEF) 1001int events (ev_io *w, int new_events = EV_UNDEF)
679 CODE: 1002 CODE:
680{ 1003{
681 RETVAL = w->events; 1004 RETVAL = w->events;
682 1005
683 if (items > 1) 1006 if (items > 1)
684 RESET (io, w, (w, w->fd, new_events)); 1007 {
1008 PAUSE (io);
1009 ev_io_modify (w, new_events);
1010 RESUME (io);
1011 }
685} 1012}
686 OUTPUT: 1013 OUTPUT:
687 RETVAL 1014 RETVAL
688 1015
689MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1016MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
690 1017
691void ev_signal_start (ev_signal *w) 1018void ev_signal_start (ev_signal *w)
692 CODE: 1019 CODE:
693 START (signal, w); 1020 START_SIGNAL (w);
694 1021
695void ev_signal_stop (ev_signal *w) 1022void ev_signal_stop (ev_signal *w)
696 CODE: 1023 CODE:
697 STOP (signal, w); 1024 STOP (signal, w);
698 1025
702 e_destroy (w); 1029 e_destroy (w);
703 1030
704void set (ev_signal *w, SV *signal) 1031void set (ev_signal *w, SV *signal)
705 CODE: 1032 CODE:
706{ 1033{
707 Signal signum = sv_signum (signal); 1034 Signal signum = s_signum (signal);
708 CHECK_SIG (signal, signum); 1035 CHECK_SIG (signal, signum);
709 1036
710 RESET (signal, w, (w, signum)); 1037 RESET_SIGNAL (w, (w, signum));
711} 1038}
712 1039
713int signal (ev_signal *w, SV *new_signal = 0) 1040int signal (ev_signal *w, SV *new_signal = 0)
714 CODE: 1041 CODE:
715{ 1042{
716 RETVAL = w->signum; 1043 RETVAL = w->signum;
717 1044
718 if (items > 1) 1045 if (items > 1)
719 { 1046 {
720 Signal signum = sv_signum (new_signal); 1047 Signal signum = s_signum (new_signal);
721 CHECK_SIG (new_signal, signum); 1048 CHECK_SIG (new_signal, signum);
722 1049
723 RESET (signal, w, (w, signum)); 1050 RESET_SIGNAL (w, (w, signum));
724 } 1051 }
725} 1052}
726 OUTPUT: 1053 OUTPUT:
727 RETVAL 1054 RETVAL
728 1055
736 1063
737void ev_timer_stop (ev_timer *w) 1064void ev_timer_stop (ev_timer *w)
738 CODE: 1065 CODE:
739 STOP (timer, w); 1066 STOP (timer, w);
740 1067
741void ev_timer_again (ev_timer *w) 1068void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
742 INIT: 1069 CODE:
1070 if (items > 1)
1071 w->repeat = repeat;
743 CHECK_REPEAT (w->repeat); 1072 CHECK_REPEAT (w->repeat);
744 CODE:
745 REF (w);
746 ev_timer_again (w); 1073 ev_timer_again (e_loop (w), w);
747 UNREF (w); 1074 UNREF (w);
1075
1076NV ev_timer_remaining (ev_timer *w)
1077 C_ARGS: e_loop (w), w
748 1078
749void DESTROY (ev_timer *w) 1079void DESTROY (ev_timer *w)
750 CODE: 1080 CODE:
751 STOP (timer, w); 1081 STOP (timer, w);
752 e_destroy (w); 1082 e_destroy (w);
769 CODE: 1099 CODE:
770 STOP (periodic, w); 1100 STOP (periodic, w);
771 1101
772void ev_periodic_again (ev_periodic *w) 1102void ev_periodic_again (ev_periodic *w)
773 CODE: 1103 CODE:
774 REF (w);
775 ev_periodic_again (w); 1104 ev_periodic_again (e_loop (w), w);
776 UNREF (w); 1105 UNREF (w);
777 1106
778void DESTROY (ev_periodic *w) 1107void DESTROY (ev_periodic *w)
779 CODE: 1108 CODE:
780 STOP (periodic, w); 1109 STOP (periodic, w);
783void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1112void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
784 INIT: 1113 INIT:
785 CHECK_REPEAT (interval); 1114 CHECK_REPEAT (interval);
786 CODE: 1115 CODE:
787{ 1116{
788 SvREFCNT_dec (w->fh); 1117 SvREFCNT_dec (e_fh (w));
789 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1118 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
790 1119
791 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1120 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
792} 1121}
1122
1123NV at (ev_periodic *w)
1124 CODE:
1125 RETVAL = ev_periodic_at (w);
1126 OUTPUT:
1127 RETVAL
793 1128
794MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1129MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
795 1130
796void ev_idle_start (ev_idle *w) 1131void ev_idle_start (ev_idle *w)
797 CODE: 1132 CODE:
804void DESTROY (ev_idle *w) 1139void DESTROY (ev_idle *w)
805 CODE: 1140 CODE:
806 STOP (idle, w); 1141 STOP (idle, w);
807 e_destroy (w); 1142 e_destroy (w);
808 1143
809MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_check_ 1144MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_prepare_
810 1145
811void ev_prepare_start (ev_prepare *w) 1146void ev_prepare_start (ev_prepare *w)
812 CODE: 1147 CODE:
813 START (prepare, w); 1148 START (prepare, w);
814 1149
834void DESTROY (ev_check *w) 1169void DESTROY (ev_check *w)
835 CODE: 1170 CODE:
836 STOP (check, w); 1171 STOP (check, w);
837 e_destroy (w); 1172 e_destroy (w);
838 1173
1174MODULE = EV PACKAGE = EV::Fork PREFIX = ev_fork_
1175
1176void ev_fork_start (ev_fork *w)
1177 CODE:
1178 START (fork, w);
1179
1180void ev_fork_stop (ev_fork *w)
1181 CODE:
1182 STOP (fork, w);
1183
1184void DESTROY (ev_fork *w)
1185 CODE:
1186 STOP (fork, w);
1187 e_destroy (w);
1188
1189#if CLEANUP_ENABLED
1190
1191MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1192
1193void ev_cleanup_start (ev_cleanup *w)
1194 CODE:
1195 START (cleanup, w);
1196
1197void ev_cleanup_stop (ev_cleanup *w)
1198 CODE:
1199 STOP (cleanup, w);
1200
1201void DESTROY (ev_cleanup *w)
1202 CODE:
1203 STOP (cleanup, w);
1204 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1205 e_destroy (w);
1206
1207int keepalive (ev_watcher *w, SV *new_value = 0)
1208 CODE:
1209 RETVAL = 1;
1210 OUTPUT:
1211 RETVAL
1212
1213#endif
1214
839MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1215MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1216
1217#if EV_CHILD_ENABLE
840 1218
841void ev_child_start (ev_child *w) 1219void ev_child_start (ev_child *w)
842 CODE: 1220 CODE:
843 START (child, w); 1221 START (child, w);
844 1222
849void DESTROY (ev_child *w) 1227void DESTROY (ev_child *w)
850 CODE: 1228 CODE:
851 STOP (child, w); 1229 STOP (child, w);
852 e_destroy (w); 1230 e_destroy (w);
853 1231
854void set (ev_child *w, int pid) 1232void set (ev_child *w, int pid, int trace)
855 CODE: 1233 CODE:
856 RESET (child, w, (w, pid)); 1234 RESET (child, w, (w, pid, trace));
857 1235
858int pid (ev_child *w, int new_pid = 0)
859 CODE:
860{
861 RETVAL = w->pid;
862
863 if (items > 1)
864 RESET (child, w, (w, new_pid));
865}
866 OUTPUT:
867 RETVAL
868
869
870int rstatus (ev_child *w) 1236int pid (ev_child *w)
871 ALIAS: 1237 ALIAS:
872 rpid = 1 1238 rpid = 1
1239 rstatus = 2
873 CODE: 1240 CODE:
874 RETVAL = ix ? w->rpid : w->rstatus; 1241 RETVAL = ix == 0 ? w->pid
1242 : ix == 1 ? w->rpid
1243 : w->rstatus;
875 OUTPUT: 1244 OUTPUT:
876 RETVAL 1245 RETVAL
1246
1247#endif
877 1248
878MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1249MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
879 1250
880void ev_stat_start (ev_stat *w) 1251void ev_stat_start (ev_stat *w)
881 CODE: 1252 CODE:
891 e_destroy (w); 1262 e_destroy (w);
892 1263
893void set (ev_stat *w, SV *path, NV interval) 1264void set (ev_stat *w, SV *path, NV interval)
894 CODE: 1265 CODE:
895{ 1266{
896 sv_setsv (w->fh, path); 1267 sv_setsv (e_fh (w), path);
897 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1268 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
898} 1269}
899 1270
900SV *path (ev_stat *w, SV *new_path = 0) 1271SV *path (ev_stat *w, SV *new_path = 0)
901 CODE: 1272 CODE:
902{ 1273{
903 RETVAL = SvREFCNT_inc (w->fh); 1274 RETVAL = SvREFCNT_inc (e_fh (w));
904 1275
905 if (items > 1) 1276 if (items > 1)
906 { 1277 {
907 SvREFCNT_dec (w->fh); 1278 SvREFCNT_dec (e_fh (w));
908 w->fh = newSVsv (new_path); 1279 e_fh (w) = newSVsv (new_path);
909 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1280 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
910 } 1281 }
911} 1282}
912 OUTPUT: 1283 OUTPUT:
913 RETVAL 1284 RETVAL
914 1285
916 CODE: 1287 CODE:
917{ 1288{
918 RETVAL = w->interval; 1289 RETVAL = w->interval;
919 1290
920 if (items > 1) 1291 if (items > 1)
921 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1292 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
922} 1293}
923 OUTPUT: 1294 OUTPUT:
924 RETVAL 1295 RETVAL
925 1296
926void prev (ev_stat *w) 1297void prev (ev_stat *w)
930 PPCODE: 1301 PPCODE:
931{ 1302{
932 ev_statdata *s = ix ? &w->attr : &w->prev; 1303 ev_statdata *s = ix ? &w->attr : &w->prev;
933 1304
934 if (ix == 1) 1305 if (ix == 1)
935 ev_stat_stat (w); 1306 ev_stat_stat (e_loop (w), w);
936 else if (!s->st_nlink) 1307 else if (!s->st_nlink)
937 errno = ENOENT; 1308 errno = ENOENT;
938 1309
939 PL_statcache.st_dev = s->st_nlink; 1310 PL_statcache.st_dev = s->st_nlink;
940 PL_statcache.st_ino = s->st_ino; 1311 PL_statcache.st_ino = s->st_ino;
967 PUSHs (sv_2mortal (newSVuv (4096))); 1338 PUSHs (sv_2mortal (newSVuv (4096)));
968 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096)))); 1339 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096))));
969 } 1340 }
970} 1341}
971 1342
1343MODULE = EV PACKAGE = EV::Embed PREFIX = ev_embed_
1344
1345void ev_embed_start (ev_embed *w)
1346 CODE:
1347 START (embed, w);
1348
1349void ev_embed_stop (ev_embed *w)
1350 CODE:
1351 STOP (embed, w);
1352
1353void DESTROY (ev_embed *w)
1354 CODE:
1355 STOP (embed, w);
1356 e_destroy (w);
1357
1358void set (ev_embed *w, struct ev_loop *loop)
1359 CODE:
1360{
1361 sv_setsv (e_fh (w), ST (1));
1362 RESET (embed, w, (w, loop));
1363}
1364
1365SV *other (ev_embed *w)
1366 CODE:
1367 RETVAL = newSVsv (e_fh (w));
1368 OUTPUT:
1369 RETVAL
1370
1371void ev_embed_sweep (ev_embed *w)
1372 C_ARGS: e_loop (w), w
1373
1374MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1375
1376void ev_async_start (ev_async *w)
1377 CODE:
1378 START (async, w);
1379
1380void ev_async_stop (ev_async *w)
1381 CODE:
1382 STOP (async, w);
1383
1384void DESTROY (ev_async *w)
1385 CODE:
1386 STOP (async, w);
1387 e_destroy (w);
1388
1389void ev_async_send (ev_async *w)
1390 C_ARGS: e_loop (w), w
1391
1392SV *ev_async_async_pending (ev_async *w)
1393 CODE:
1394 RETVAL = boolSV (ev_async_pending (w));
1395 OUTPUT:
1396 RETVAL
1397
1398#ifndef EV_NO_LOOPS
1399
1400MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1401
1402SV *new (SV *klass, unsigned int flags = 0)
1403 CODE:
1404{
1405 struct ev_loop *loop = ev_loop_new (flags);
1406
1407 if (!loop)
1408 XSRETURN_UNDEF;
1409
1410 RETVAL = sv_bless (newRV_noinc (newSViv (PTR2IV (loop))), stash_loop);
1411}
1412 OUTPUT:
1413 RETVAL
1414
1415void DESTROY (struct ev_loop *loop)
1416 CODE:
1417 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1418 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1419 if (loop != evapi.default_loop)
1420 ev_loop_destroy (loop);
1421
1422void ev_loop_fork (struct ev_loop *loop)
1423
1424NV ev_now (struct ev_loop *loop)
1425
1426void ev_now_update (struct ev_loop *loop)
1427
1428void ev_suspend (struct ev_loop *loop)
1429
1430void ev_resume (struct ev_loop *loop)
1431
1432void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1433
1434void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1435
1436unsigned int ev_backend (struct ev_loop *loop)
1437
1438void ev_verify (struct ev_loop *loop)
1439 ALIAS:
1440 loop_verify = 1
1441
1442unsigned int ev_iteration (struct ev_loop *loop)
1443 ALIAS:
1444 loop_count = 1
1445
1446unsigned int ev_depth (struct ev_loop *loop)
1447 ALIAS:
1448 loop_depth = 1
1449
1450int ev_run (struct ev_loop *loop, int flags = 0)
1451 ALIAS:
1452 loop = 1
1453
1454void ev_break (struct ev_loop *loop, int how = 1)
1455 ALIAS:
1456 unloop = 1
1457
1458void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1459
1460unsigned int ev_pending_count (struct ev_loop *loop)
1461
1462void ev_invoke_pending (struct ev_loop *loop)
1463
972#if 0 1464#if 0
973 1465
974MODULE = EV PACKAGE = EV::HTTP PREFIX = evhttp_ 1466void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
975 1467 CODE:
976BOOT:
977{ 1468{
978 HV *stash = gv_stashpv ("EV::HTTP", 1); 1469 Signal signum = s_signum (signal);
1470 CHECK_SIG (signal, signum);
979 1471
980 static const struct { 1472 ev_feed_signal_event (loop, signum);
981 const char *name;
982 IV iv;
983 } *civ, const_iv[] = {
984# define const_iv(pfx, name) { # name, (IV) pfx ## name },
985 const_iv (HTTP_, OK)
986 const_iv (HTTP_, NOCONTENT)
987 const_iv (HTTP_, MOVEPERM)
988 const_iv (HTTP_, MOVETEMP)
989 const_iv (HTTP_, NOTMODIFIED)
990 const_iv (HTTP_, BADREQUEST)
991 const_iv (HTTP_, NOTFOUND)
992 const_iv (HTTP_, SERVUNAVAIL)
993 const_iv (EVHTTP_, REQ_OWN_CONNECTION)
994 const_iv (EVHTTP_, PROXY_REQUEST)
995 const_iv (EVHTTP_, REQ_GET)
996 const_iv (EVHTTP_, REQ_POST)
997 const_iv (EVHTTP_, REQ_HEAD)
998 const_iv (EVHTTP_, REQUEST)
999 const_iv (EVHTTP_, RESPONSE)
1000 };
1001
1002 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; )
1003 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv));
1004} 1473}
1005 1474
1006MODULE = EV PACKAGE = EV::HTTP::Request PREFIX = evhttp_request_
1007
1008#HttpRequest new (SV *klass, SV *cb)
1009
1010#void DESTROY (struct evhttp_request *req);
1011
1012#endif 1475#endif
1013 1476
1477ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1478 ALIAS:
1479 io_ns = 1
1480 CODE:
1481{
1482 int fd = s_fileno (fh, events & EV_WRITE);
1483 CHECK_FD (fh, fd);
1014 1484
1485 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1486 e_fh (RETVAL) = newSVsv (fh);
1487 ev_io_set (RETVAL, fd, events);
1488 if (!ix) START (io, RETVAL);
1489}
1490 OUTPUT:
1491 RETVAL
1015 1492
1493ev_timer *timer (struct ev_loop *loop, NV after, NV repeat, SV *cb)
1494 ALIAS:
1495 timer_ns = 1
1496 INIT:
1497 CHECK_REPEAT (repeat);
1498 CODE:
1499 RETVAL = e_new (sizeof (ev_timer), cb, ST (0));
1500 ev_timer_set (RETVAL, after, repeat);
1501 if (!ix) START (timer, RETVAL);
1502 OUTPUT:
1503 RETVAL
1016 1504
1505SV *periodic (struct ev_loop *loop, NV at, NV interval, SV *reschedule_cb, SV *cb)
1506 ALIAS:
1507 periodic_ns = 1
1508 INIT:
1509 CHECK_REPEAT (interval);
1510 CODE:
1511{
1512 ev_periodic *w;
1513 w = e_new (sizeof (ev_periodic), cb, ST (0));
1514 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1515 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1516 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1517 if (!ix) START (periodic, w);
1518}
1519 OUTPUT:
1520 RETVAL
1017 1521
1522ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1523 ALIAS:
1524 signal_ns = 1
1525 CODE:
1526{
1527 Signal signum = s_signum (signal);
1528 CHECK_SIG (signal, signum);
1018 1529
1530 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1531 ev_signal_set (RETVAL, signum);
1532 if (!ix) START_SIGNAL (RETVAL);
1533}
1534 OUTPUT:
1535 RETVAL
1019 1536
1537ev_idle *idle (struct ev_loop *loop, SV *cb)
1538 ALIAS:
1539 idle_ns = 1
1540 CODE:
1541 RETVAL = e_new (sizeof (ev_idle), cb, ST (0));
1542 ev_idle_set (RETVAL);
1543 if (!ix) START (idle, RETVAL);
1544 OUTPUT:
1545 RETVAL
1020 1546
1547ev_prepare *prepare (struct ev_loop *loop, SV *cb)
1548 ALIAS:
1549 prepare_ns = 1
1550 CODE:
1551 RETVAL = e_new (sizeof (ev_prepare), cb, ST (0));
1552 ev_prepare_set (RETVAL);
1553 if (!ix) START (prepare, RETVAL);
1554 OUTPUT:
1555 RETVAL
1556
1557ev_check *check (struct ev_loop *loop, SV *cb)
1558 ALIAS:
1559 check_ns = 1
1560 CODE:
1561 RETVAL = e_new (sizeof (ev_check), cb, ST (0));
1562 ev_check_set (RETVAL);
1563 if (!ix) START (check, RETVAL);
1564 OUTPUT:
1565 RETVAL
1566
1567ev_fork *fork (struct ev_loop *loop, SV *cb)
1568 ALIAS:
1569 fork_ns = 1
1570 CODE:
1571 RETVAL = e_new (sizeof (ev_fork), cb, ST (0));
1572 ev_fork_set (RETVAL);
1573 if (!ix) START (fork, RETVAL);
1574 OUTPUT:
1575 RETVAL
1576
1577#if CLEANUP_ENABLED
1578
1579ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1580 ALIAS:
1581 cleanup_ns = 1
1582 CODE:
1583 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1584 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1585 ev_cleanup_set (RETVAL);
1586 if (!ix) START (cleanup, RETVAL);
1587 OUTPUT:
1588 RETVAL
1589
1590#endif
1591
1592ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1593 ALIAS:
1594 child_ns = 1
1595 CODE:
1596#if EV_CHILD_ENABLE
1597 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1598 ev_child_set (RETVAL, pid, trace);
1599 if (!ix) START (child, RETVAL);
1600#else
1601 croak ("EV::child watchers not supported on this platform");
1602#endif
1603 OUTPUT:
1604 RETVAL
1605
1606ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1607 ALIAS:
1608 stat_ns = 1
1609 CODE:
1610 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1611 e_fh (RETVAL) = newSVsv (path);
1612 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1613 if (!ix) START (stat, RETVAL);
1614 OUTPUT:
1615 RETVAL
1616
1617ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1618 ALIAS:
1619 embed_ns = 1
1620 CODE:
1621{
1622 if (!(ev_backend (other) & ev_embeddable_backends ()))
1623 croak ("passed loop is not embeddable via EV::embed,");
1624
1625 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1626 e_fh (RETVAL) = newSVsv (ST (1));
1627 ev_embed_set (RETVAL, other);
1628 if (!ix) START (embed, RETVAL);
1629}
1630 OUTPUT:
1631 RETVAL
1632
1633ev_async *async (struct ev_loop *loop, SV *cb)
1634 ALIAS:
1635 async_ns = 1
1636 CODE:
1637 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1638 ev_async_set (RETVAL);
1639 if (!ix) START (async, RETVAL);
1640 OUTPUT:
1641 RETVAL
1642
1643void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1644 CODE:
1645 ev_once (
1646 loop,
1647 s_fileno (fh, events & EV_WRITE), events,
1648 SvOK (timeout) ? SvNV (timeout) : -1.,
1649 e_once_cb,
1650 newSVsv (cb)
1651 );
1652
1653#endif
1654

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines