ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.102 by root, Sat Dec 22 16:37:08 2007 UTC vs.
Revision 1.181 by root, Tue Feb 18 16:49:07 2020 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/ 5/* fix perl api breakage */
6#ifndef WIN32
7# undef signal
8# undef sigaction
9#endif
6 10
11#include "schmorp.h"
12
13/* old API compatibility */
14static int
15sv_fileno (SV *fh)
16{
17 return s_fileno (fh, 0);
18}
19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26#else
27# define NDEBUG 1
28#endif
29
30/* make sure we get a real assert, not perl's incompatible version */
31#include <assert.h>
32
33#define EV_STANDALONE 1
7#define EV_PROTOTYPES 1 34#define EV_PROTOTYPES 1
8#define EV_USE_NANOSLEEP EV_USE_MONOTONIC 35#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
9#define EV_H <ev.h> 36#define EV_USE_FLOOR 1
37#define EV_API_STATIC
38#define EV_H "../libev/ev.h"
39#define EV_CONFIG_H error
10#include "EV/EVAPI.h" 40#include "EV/EVAPI.h"
11
12/* fix perl api breakage */
13#undef signal
14#undef sigaction
15 41
16#define EV_SELECT_IS_WINSOCKET 0 42#define EV_SELECT_IS_WINSOCKET 0
17#ifdef _WIN32 43#ifdef _WIN32
18# define EV_SELECT_USE_FD_SET 0 44# define EV_SELECT_USE_FD_SET 0
19# define NFDBITS PERL_NFDBITS 45# define NFDBITS PERL_NFDBITS
20# define fd_mask Perl_fd_mask 46# define fd_mask Perl_fd_mask
21#endif 47#endif
22/* due to bugs in OS X we have to use libev/ explicitly here */ 48/* due to bugs in OS X we have to use libev/ explicitly here */
23#include "libev/ev.c" 49#include "libev/ev.c"
24 50
25#ifndef _WIN32 51#if !defined _WIN32 && !defined __minix && !EV_NO_ATFORK
26# include <pthread.h> 52# include <pthread.h>
27#endif 53#endif
28 54
29#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX ((w)->loop)) 55#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
56#define e_flags(w) ((ev_watcher *)(w))->e_flags
57#define e_self(w) ((ev_watcher *)(w))->self
58#define e_fh(w) ((ev_watcher *)(w))->fh
59#define e_data(w) ((ev_watcher *)(w))->data
30 60
31#define WFLAG_KEEPALIVE 1 61#define WFLAG_KEEPALIVE 1
62#define WFLAG_UNREFED 2 /* has been unref'ed */
32 63
33#define UNREF(w) \ 64#define UNREF(w) \
34 if (!((w)->flags & WFLAG_KEEPALIVE) \ 65 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
35 && !ev_is_active (w)) \ 66 && ev_is_active (w)) \
67 { \
36 ev_unref (e_loop (w)); 68 ev_unref (e_loop (w)); \
69 e_flags (w) |= WFLAG_UNREFED; \
70 }
37 71
38#define REF(w) \ 72#define REF(w) \
39 if (!((w)->flags & WFLAG_KEEPALIVE) \ 73 if (e_flags (w) & WFLAG_UNREFED) \
40 && ev_is_active (w)) \ 74 { \
75 e_flags (w) &= ~WFLAG_UNREFED; \
41 ev_ref (e_loop (w)); 76 ev_ref (e_loop (w)); \
77 }
42 78
43#define START(type,w) \ 79#define START(type,w) \
44 do { \ 80 do { \
81 ev_ ## type ## _start (e_loop (w), w); \
45 UNREF (w); \ 82 UNREF (w); \
46 ev_ ## type ## _start (e_loop (w), w); \
47 } while (0) 83 } while (0)
48 84
49#define STOP(type,w) \ 85#define STOP(type,w) \
50 do { \ 86 do { \
51 REF (w); \ 87 REF (w); \
52 ev_ ## type ## _stop (e_loop (w), w); \ 88 ev_ ## type ## _stop (e_loop (w), w); \
53 } while (0) 89 } while (0)
54 90
91#define PAUSE(type) \
92 do { \
93 int active = ev_is_active (w); \
94 if (active) STOP (type, w)
95
96#define RESUME(type) \
97 if (active) START (type, w); \
98 } while (0)
99
100
55#define RESET(type,w,seta) \ 101#define RESET(type,w,seta) \
56 do { \ 102 PAUSE (type); \
57 int active = ev_is_active (w); \
58 if (active) STOP (type, w); \
59 ev_ ## type ## _set seta; \ 103 ev_ ## type ## _set seta; \
60 if (active) START (type, w); \ 104 RESUME (type)
61 } while (0)
62 105
63typedef int Signal; 106typedef int Signal;
107
108/* horrible... */
109#define CHECK_SIGNAL_CAN_START(w) \
110 do { \
111 /* dive into the internals of libev to avoid aborting in libev */ \
112 if (signals [(w)->signum - 1].loop \
113 && signals [(w)->signum - 1].loop != e_loop (w)) \
114 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
115 } while (0)
116
117#define START_SIGNAL(w) \
118 do { \
119 CHECK_SIGNAL_CAN_START (w); \
120 START (signal, w); \
121 } while (0) \
122
123#define RESET_SIGNAL(w,seta) \
124 do { \
125 int active = ev_is_active (w); \
126 if (active) STOP (signal, w); \
127 ev_ ## signal ## _set seta; \
128 if (active) START_SIGNAL (w); \
129 } while (0)
64 130
65static SV *default_loop_sv; 131static SV *default_loop_sv;
66 132
67static struct EVAPI evapi; 133static struct EVAPI evapi;
68 134
77 *stash_stat, 143 *stash_stat,
78 *stash_idle, 144 *stash_idle,
79 *stash_prepare, 145 *stash_prepare,
80 *stash_check, 146 *stash_check,
81 *stash_embed, 147 *stash_embed,
82 *stash_fork; 148 *stash_fork,
83 149 *stash_cleanup,
84#ifndef SIG_SIZE 150 *stash_async;
85/* kudos to Slaven Rezic for the idea */
86static char sig_size [] = { SIG_NUM };
87# define SIG_SIZE (sizeof (sig_size) + 1)
88#endif
89
90static Signal
91sv_signum (SV *sig)
92{
93 Signal signum;
94
95 SvGETMAGIC (sig);
96
97 for (signum = 1; signum < SIG_SIZE; ++signum)
98 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
99 return signum;
100
101 signum = SvIV (sig);
102
103 if (signum > 0 && signum < SIG_SIZE)
104 return signum;
105
106 return -1;
107}
108 151
109///////////////////////////////////////////////////////////////////////////// 152/////////////////////////////////////////////////////////////////////////////
110// Event 153// Event
111 154
112static void e_cb (EV_P_ ev_watcher *w, int revents); 155static void e_cb (EV_P_ ev_watcher *w, int revents);
113 156
114static int
115sv_fileno (SV *fh)
116{
117 SvGETMAGIC (fh);
118
119 if (SvROK (fh))
120 fh = SvRV (fh);
121
122 if (SvTYPE (fh) == SVt_PVGV)
123 return PerlIO_fileno (IoIFP (sv_2io (fh)));
124
125 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
126 return SvIV (fh);
127
128 return -1;
129}
130
131static void * 157static void *
132e_new (int size, SV *cb_sv, SV *loop) 158e_new (int size, SV *cb_sv, SV *loop)
133{ 159{
160 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
134 ev_watcher *w; 161 ev_watcher *w;
135 SV *self = NEWSV (0, size); 162 SV *self = NEWSV (0, size);
136 SvPOK_only (self); 163 SvPOK_only (self);
137 SvCUR_set (self, size); 164 SvCUR_set (self, size);
138 165
139 w = (ev_watcher *)SvPVX (self); 166 w = (ev_watcher *)SvPVX (self);
140 167
141 ev_init (w, e_cb); 168 ev_init (w, cv ? e_cb : 0);
142 169
143 w->loop = SvREFCNT_inc (SvRV (loop)); 170 w->loop = SvREFCNT_inc (SvRV (loop));
144 w->flags = WFLAG_KEEPALIVE; 171 w->e_flags = WFLAG_KEEPALIVE;
145 w->data = 0; 172 w->data = 0;
146 w->fh = 0; 173 w->fh = 0;
147 w->cb_sv = SvTEMP (cb_sv) && SvREFCNT (cb_sv) == 1 ? SvREFCNT_inc (cb_sv) : newSVsv (cb_sv); 174 w->cb_sv = SvREFCNT_inc (cv);
148 w->self = self; 175 w->self = self;
149 176
150 return (void *)w; 177 return (void *)w;
151} 178}
152 179
153static void 180static void
176 } 203 }
177 204
178 return rv; 205 return rv;
179} 206}
180 207
181static SV *sv_events_cache; 208static SV *sv_self_cache, *sv_events_cache;
182 209
183static void 210static void
184e_cb (EV_P_ ev_watcher *w, int revents) 211e_cb (EV_P_ ev_watcher *w, int revents)
185{ 212{
186 dSP; 213 dSP;
187 I32 mark = SP - PL_stack_base; 214 I32 mark = SP - PL_stack_base;
188 SV *sv_self, *sv_events; 215 SV *sv_self, *sv_events;
189 216
217 /* libev might have stopped the watcher */
218 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
219 && !ev_is_active (w))
220 REF (w);
221
222 if (ecb_expect_true (sv_self_cache))
223 {
224 sv_self = sv_self_cache; sv_self_cache = 0;
225 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
226 }
227 else
228 {
190 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 229 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
230 SvREADONLY_on (sv_self);
231 }
232
233 if (ecb_expect_true (sv_events_cache))
234 {
235 sv_events = sv_events_cache; sv_events_cache = 0;
236 SvIV_set (sv_events, revents);
237 SvIOK_only (sv_events);
238 }
239 else
240 {
241 sv_events = newSViv (revents);
242 SvREADONLY_on (sv_events);
243 }
244
245 PUSHMARK (SP);
246 EXTEND (SP, 2);
247 PUSHs (sv_self);
248 PUSHs (sv_events);
249
250 PUTBACK;
251 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
252
253 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
254 SvREFCNT_dec (sv_self);
255 else
256 {
257 SvREFCNT_dec (SvRV (sv_self));
258 SvRV_set (sv_self, &PL_sv_undef);
259 sv_self_cache = sv_self;
260 }
261
262 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
263 SvREFCNT_dec (sv_events);
264 else
265 sv_events_cache = sv_events;
266
267 if (ecb_expect_false (SvTRUE (ERRSV)))
268 {
269 SPAGAIN;
270 PUSHMARK (SP);
271 PUTBACK;
272 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
273 }
274
275 SP = PL_stack_base + mark;
276 PUTBACK;
277}
278
279static void
280e_once_cb (int revents, void *arg)
281{
282 dSP;
283 I32 mark = SP - PL_stack_base;
284 SV *sv_events;
191 285
192 if (sv_events_cache) 286 if (sv_events_cache)
193 { 287 {
194 sv_events = sv_events_cache; sv_events_cache = 0; 288 sv_events = sv_events_cache; sv_events_cache = 0;
195 SvIV_set (sv_events, revents); 289 SvIV_set (sv_events, revents);
196 } 290 }
197 else 291 else
198 sv_events = newSViv (revents); 292 sv_events = newSViv (revents);
199 293
200 PUSHMARK (SP); 294 PUSHMARK (SP);
201 EXTEND (SP, 2);
202 PUSHs (sv_self);
203 PUSHs (sv_events); 295 XPUSHs (sv_events);
204 296
205 PUTBACK; 297 PUTBACK;
206 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 298 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
207 299
208 SvREFCNT_dec (sv_self); 300 SvREFCNT_dec ((SV *)arg);
209 301
210 if (sv_events_cache) 302 if (sv_events_cache)
211 SvREFCNT_dec (sv_events); 303 SvREFCNT_dec (sv_events);
212 else 304 else
213 sv_events_cache = sv_events; 305 sv_events_cache = sv_events;
222 314
223 SP = PL_stack_base + mark; 315 SP = PL_stack_base + mark;
224 PUTBACK; 316 PUTBACK;
225} 317}
226 318
227static void
228e_once_cb (int revents, void *arg)
229{
230 dSP;
231 I32 mark = SP - PL_stack_base;
232 SV *sv_events;
233
234 if (sv_events_cache)
235 {
236 sv_events = sv_events_cache; sv_events_cache = 0;
237 SvIV_set (sv_events, revents);
238 }
239 else
240 sv_events = newSViv (revents);
241
242 PUSHMARK (SP);
243 XPUSHs (sv_events);
244
245 PUTBACK;
246 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
247
248 SvREFCNT_dec ((SV *)arg);
249
250 if (sv_events_cache)
251 SvREFCNT_dec (sv_events);
252 else
253 sv_events_cache = sv_events;
254
255 if (SvTRUE (ERRSV))
256 {
257 SPAGAIN;
258 PUSHMARK (SP);
259 PUTBACK;
260 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
261 }
262
263 SP = PL_stack_base + mark;
264 PUTBACK;
265}
266
267static ev_tstamp 319static ev_tstamp
268e_periodic_cb (ev_periodic *w, ev_tstamp now) 320e_periodic_cb (ev_periodic *w, ev_tstamp now)
269{ 321{
270 ev_tstamp retval; 322 ev_tstamp retval;
271 int count; 323 int count;
274 ENTER; 326 ENTER;
275 SAVETMPS; 327 SAVETMPS;
276 328
277 PUSHMARK (SP); 329 PUSHMARK (SP);
278 EXTEND (SP, 2); 330 EXTEND (SP, 2);
279 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 331 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
280 PUSHs (newSVnv (now)); 332 PUSHs (newSVnv (now));
281 333
282 PUTBACK; 334 PUTBACK;
283 count = call_sv (w->fh, G_SCALAR | G_EVAL); 335 count = call_sv (w->fh, G_SCALAR | G_EVAL);
284 SPAGAIN; 336 SPAGAIN;
314 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 366 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
315 367
316#define CHECK_SIG(sv,num) if ((num) < 0) \ 368#define CHECK_SIG(sv,num) if ((num) < 0) \
317 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 369 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
318 370
371static void
372default_fork (void)
373{
374 ev_loop_fork (EV_DEFAULT_UC);
375}
376
319///////////////////////////////////////////////////////////////////////////// 377/////////////////////////////////////////////////////////////////////////////
320// XS interface functions 378// XS interface functions
321 379
322MODULE = EV PACKAGE = EV PREFIX = ev_ 380MODULE = EV PACKAGE = EV PREFIX = ev_
323 381
335 const_iv (EV_, MINPRI) 393 const_iv (EV_, MINPRI)
336 const_iv (EV_, MAXPRI) 394 const_iv (EV_, MAXPRI)
337 395
338 const_iv (EV_, UNDEF) 396 const_iv (EV_, UNDEF)
339 const_iv (EV_, NONE) 397 const_iv (EV_, NONE)
340 const_iv (EV_, TIMEOUT)
341 const_iv (EV_, READ) 398 const_iv (EV_, READ)
342 const_iv (EV_, WRITE) 399 const_iv (EV_, WRITE)
400 const_iv (EV_, IO)
401 const_iv (EV_, TIMER)
402 const_iv (EV_, PERIODIC)
343 const_iv (EV_, SIGNAL) 403 const_iv (EV_, SIGNAL)
404 const_iv (EV_, CHILD)
405 const_iv (EV_, STAT)
344 const_iv (EV_, IDLE) 406 const_iv (EV_, IDLE)
407 const_iv (EV_, PREPARE)
408 /*const_iv (EV_, CHECK) needs special tretament */
409 const_iv (EV_, EMBED)
345 const_iv (EV_, CHECK) 410 const_iv (EV_, FORK)
411 const_iv (EV_, CLEANUP)
412 const_iv (EV_, ASYNC)
413 const_iv (EV_, CUSTOM)
346 const_iv (EV_, ERROR) 414 const_iv (EV_, ERROR)
347 415
348 const_iv (EV, LOOP_ONESHOT) 416 const_iv (EV, RUN_NOWAIT)
349 const_iv (EV, LOOP_NONBLOCK)
350 const_iv (EV, UNLOOP_ONE) 417 const_iv (EV, RUN_ONCE)
418
419 const_iv (EV, BREAK_CANCEL)
420 const_iv (EV, BREAK_ONE)
351 const_iv (EV, UNLOOP_ALL) 421 const_iv (EV, BREAK_ALL)
352
353 const_iv (EV, BACKEND_SELECT) 422 const_iv (EV, BACKEND_SELECT)
354 const_iv (EV, BACKEND_POLL) 423 const_iv (EV, BACKEND_POLL)
355 const_iv (EV, BACKEND_EPOLL) 424 const_iv (EV, BACKEND_EPOLL)
356 const_iv (EV, BACKEND_KQUEUE) 425 const_iv (EV, BACKEND_KQUEUE)
357 const_iv (EV, BACKEND_DEVPOLL) 426 const_iv (EV, BACKEND_DEVPOLL)
358 const_iv (EV, BACKEND_PORT) 427 const_iv (EV, BACKEND_PORT)
428 const_iv (EV, BACKEND_ALL)
429 const_iv (EV, BACKEND_MASK)
359 const_iv (EV, FLAG_AUTO) 430 const_iv (EV, FLAG_AUTO)
431 const_iv (EV, FLAG_FORKCHECK)
432 const_iv (EV, FLAG_SIGNALFD)
433 const_iv (EV, FLAG_NOSIGMASK)
360 const_iv (EV, FLAG_NOENV) 434 const_iv (EV, FLAG_NOENV)
361 const_iv (EV, FLAG_FORKCHECK) 435 const_iv (EV, FLAG_NOINOTIFY)
436
437 const_iv (EV_, VERSION_MAJOR)
438 const_iv (EV_, VERSION_MINOR)
439#if EV_COMPAT3
440 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
441 const_iv (EV_, TIMEOUT)
442 const_iv (EV, LOOP_NONBLOCK)
443 const_iv (EV, LOOP_ONESHOT)
444 const_iv (EV, UNLOOP_CANCEL)
445 const_iv (EV, UNLOOP_ONE)
446 const_iv (EV, UNLOOP_ALL)
447#endif
362 }; 448 };
363 449
364 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 450 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
365 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 451 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
452
453 /* since this clashes with perl CHECK blocks, */
454 /* but we are interested in constants, */
455 /* and not blocks, we treat CHECK specially. */
456 {
457 /* the local $^W = 0 takes care of the warning */
458 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
459 /* now we need to re-set the gv, in case it was hijacked */
460 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
461 }
366 462
367 stash_loop = gv_stashpv ("EV::Loop" , 1); 463 stash_loop = gv_stashpv ("EV::Loop" , 1);
368 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 464 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
369 stash_io = gv_stashpv ("EV::IO" , 1); 465 stash_io = gv_stashpv ("EV::IO" , 1);
370 stash_timer = gv_stashpv ("EV::Timer" , 1); 466 stash_timer = gv_stashpv ("EV::Timer" , 1);
375 stash_check = gv_stashpv ("EV::Check" , 1); 471 stash_check = gv_stashpv ("EV::Check" , 1);
376 stash_child = gv_stashpv ("EV::Child" , 1); 472 stash_child = gv_stashpv ("EV::Child" , 1);
377 stash_embed = gv_stashpv ("EV::Embed" , 1); 473 stash_embed = gv_stashpv ("EV::Embed" , 1);
378 stash_stat = gv_stashpv ("EV::Stat" , 1); 474 stash_stat = gv_stashpv ("EV::Stat" , 1);
379 stash_fork = gv_stashpv ("EV::Fork" , 1); 475 stash_fork = gv_stashpv ("EV::Fork" , 1);
476 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
477 stash_async = gv_stashpv ("EV::Async" , 1);
380 478
381 { 479 {
382 SV *sv = perl_get_sv ("EV::API", TRUE); 480 SV *sv = perl_get_sv ("EV::API", TRUE);
383 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 481 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
384 482
385 /* the poor man's shared library emulator */ 483 /* the poor man's shared library emulator */
386 evapi.ver = EV_API_VERSION; 484 evapi.ver = EV_API_VERSION;
387 evapi.rev = EV_API_REVISION; 485 evapi.rev = EV_API_REVISION;
388 evapi.sv_fileno = sv_fileno; 486 evapi.sv_fileno = sv_fileno;
389 evapi.sv_signum = sv_signum; 487 evapi.sv_signum = s_signum;
390 evapi.supported_backends = ev_supported_backends (); 488 evapi.supported_backends = ev_supported_backends ();
391 evapi.recommended_backends = ev_recommended_backends (); 489 evapi.recommended_backends = ev_recommended_backends ();
392 evapi.embeddable_backends = ev_embeddable_backends (); 490 evapi.embeddable_backends = ev_embeddable_backends ();
393 evapi.time = ev_time; 491 evapi.time_ = ev_time;
394 evapi.sleep = ev_sleep; 492 evapi.sleep_ = ev_sleep;
395 evapi.loop_new = ev_loop_new; 493 evapi.loop_new = ev_loop_new;
396 evapi.loop_destroy = ev_loop_destroy; 494 evapi.loop_destroy = ev_loop_destroy;
397 evapi.loop_fork = ev_loop_fork; 495 evapi.loop_fork = ev_loop_fork;
398 evapi.loop_count = ev_loop_count; 496 evapi.iteration = ev_iteration;
497 evapi.depth = ev_depth;
498 evapi.set_userdata = ev_set_userdata;
499 evapi.userdata = ev_userdata;
399 evapi.now = ev_now; 500 evapi.now = ev_now;
501 evapi.now_update = ev_now_update;
502 evapi.suspend = ev_suspend;
503 evapi.resume = ev_resume;
400 evapi.backend = ev_backend; 504 evapi.backend = ev_backend;
401 evapi.unloop = ev_unloop; 505 evapi.break_ = ev_break;
506 evapi.invoke_pending = ev_invoke_pending;
507 evapi.pending_count = ev_pending_count;
508 evapi.verify = ev_verify;
509 evapi.set_loop_release_cb = ev_set_loop_release_cb;
510 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
402 evapi.ref = ev_ref; 511 evapi.ref = ev_ref;
403 evapi.unref = ev_unref; 512 evapi.unref = ev_unref;
404 evapi.loop = ev_loop; 513 evapi.run = ev_run;
405 evapi.once = ev_once; 514 evapi.once = ev_once;
406 evapi.io_start = ev_io_start; 515 evapi.io_start = ev_io_start;
407 evapi.io_stop = ev_io_stop; 516 evapi.io_stop = ev_io_stop;
408 evapi.timer_start = ev_timer_start; 517 evapi.timer_start = ev_timer_start;
409 evapi.timer_stop = ev_timer_stop; 518 evapi.timer_stop = ev_timer_stop;
410 evapi.timer_again = ev_timer_again; 519 evapi.timer_again = ev_timer_again;
520 evapi.timer_remaining = ev_timer_remaining;
411 evapi.periodic_start = ev_periodic_start; 521 evapi.periodic_start = ev_periodic_start;
412 evapi.periodic_stop = ev_periodic_stop; 522 evapi.periodic_stop = ev_periodic_stop;
413 evapi.signal_start = ev_signal_start; 523 evapi.signal_start = ev_signal_start;
414 evapi.signal_stop = ev_signal_stop; 524 evapi.signal_stop = ev_signal_stop;
415 evapi.idle_start = ev_idle_start; 525 evapi.idle_start = ev_idle_start;
416 evapi.idle_stop = ev_idle_stop; 526 evapi.idle_stop = ev_idle_stop;
417 evapi.prepare_start = ev_prepare_start; 527 evapi.prepare_start = ev_prepare_start;
418 evapi.prepare_stop = ev_prepare_stop; 528 evapi.prepare_stop = ev_prepare_stop;
419 evapi.check_start = ev_check_start; 529 evapi.check_start = ev_check_start;
420 evapi.check_stop = ev_check_stop; 530 evapi.check_stop = ev_check_stop;
531#if EV_CHILD_ENABLE
421 evapi.child_start = ev_child_start; 532 evapi.child_start = ev_child_start;
422 evapi.child_stop = ev_child_stop; 533 evapi.child_stop = ev_child_stop;
534#endif
423 evapi.stat_start = ev_stat_start; 535 evapi.stat_start = ev_stat_start;
424 evapi.stat_stop = ev_stat_stop; 536 evapi.stat_stop = ev_stat_stop;
425 evapi.stat_stat = ev_stat_stat; 537 evapi.stat_stat = ev_stat_stat;
426 evapi.embed_start = ev_embed_start; 538 evapi.embed_start = ev_embed_start;
427 evapi.embed_stop = ev_embed_stop; 539 evapi.embed_stop = ev_embed_stop;
428 evapi.embed_sweep = ev_embed_sweep; 540 evapi.embed_sweep = ev_embed_sweep;
429 evapi.fork_start = ev_fork_start; 541 evapi.fork_start = ev_fork_start;
430 evapi.fork_stop = ev_fork_stop; 542 evapi.fork_stop = ev_fork_stop;
543 evapi.cleanup_start = ev_cleanup_start;
544 evapi.cleanup_stop = ev_cleanup_stop;
545 evapi.async_start = ev_async_start;
546 evapi.async_stop = ev_async_stop;
547 evapi.async_send = ev_async_send;
431 evapi.clear_pending = ev_clear_pending; 548 evapi.clear_pending = ev_clear_pending;
432 evapi.invoke = ev_invoke; 549 evapi.invoke = ev_invoke;
433 550
434 sv_setiv (sv, (IV)&evapi); 551 sv_setiv (sv, (IV)&evapi);
435 SvREADONLY_on (sv); 552 SvREADONLY_on (sv);
436 } 553 }
437#ifndef _WIN32 554#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
555/* unfortunately, musl neither implements the linux standard base,
556/* nor makes itself detectable via macros. yeah, right... */
557#if __linux && (__GLIBC__ || __UCLIBC__)
558 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
559 __register_atfork (0, 0, default_fork, 0);
560#else
438 pthread_atfork (0, 0, ev_default_fork); 561 pthread_atfork (0, 0, default_fork);
562#endif
439#endif 563#endif
440} 564}
441 565
442SV *ev_default_loop (unsigned int flags = 0) 566SV *ev_default_loop (unsigned int flags = 0)
443 CODE: 567 CODE:
457 OUTPUT: 581 OUTPUT:
458 RETVAL 582 RETVAL
459 583
460void ev_default_destroy () 584void ev_default_destroy ()
461 CODE: 585 CODE:
462 ev_default_destroy (); 586 ev_loop_destroy (EV_DEFAULT_UC);
463 SvREFCNT_dec (default_loop_sv); 587 SvREFCNT_dec (default_loop_sv);
464 default_loop_sv = 0; 588 default_loop_sv = 0;
465 589
466unsigned int ev_supported_backends () 590unsigned int ev_supported_backends ()
467 591
468unsigned int ev_recommended_backends () 592unsigned int ev_recommended_backends ()
469 593
470unsigned int ev_embeddable_backends () 594unsigned int ev_embeddable_backends ()
471 595
596void ev_sleep (NV interval)
597
472NV ev_time () 598NV ev_time ()
599
600void ev_feed_signal (SV *signal)
601 CODE:
602{
603 Signal signum = s_signum (signal);
604 CHECK_SIG (signal, signum);
605
606 ev_feed_signal (signum);
607}
473 608
474NV ev_now () 609NV ev_now ()
475 C_ARGS: evapi.default_loop 610 C_ARGS: evapi.default_loop
476 611
612void ev_now_update ()
613 C_ARGS: evapi.default_loop
614
615void ev_suspend ()
616 C_ARGS: evapi.default_loop
617
618void ev_resume ()
619 C_ARGS: evapi.default_loop
620
477unsigned int ev_backend () 621unsigned int ev_backend ()
478 C_ARGS: evapi.default_loop 622 C_ARGS: evapi.default_loop
479 623
624void ev_verify ()
625 ALIAS:
626 loop_verify = 1
627 C_ARGS: evapi.default_loop
628
629unsigned int ev_iteration ()
630 ALIAS:
631 loop_count = 1
632 C_ARGS: evapi.default_loop
633
480unsigned int ev_loop_count () 634unsigned int ev_depth ()
635 ALIAS:
636 loop_depth = 1
481 C_ARGS: evapi.default_loop 637 C_ARGS: evapi.default_loop
482 638
483void ev_set_io_collect_interval (NV interval) 639void ev_set_io_collect_interval (NV interval)
484 C_ARGS: evapi.default_loop, interval 640 C_ARGS: evapi.default_loop, interval
485 641
486void ev_set_timeout_collect_interval (NV interval) 642void ev_set_timeout_collect_interval (NV interval)
487 C_ARGS: evapi.default_loop, interval 643 C_ARGS: evapi.default_loop, interval
488 644
489void ev_loop (int flags = 0) 645int ev_run (int flags = 0)
646 ALIAS:
647 loop = 1
490 C_ARGS: evapi.default_loop, flags 648 C_ARGS: evapi.default_loop, flags
491 649
492void ev_unloop (int how = 1) 650void ev_break (int how = EVBREAK_ONE)
651 ALIAS:
652 unloop = 1
493 C_ARGS: evapi.default_loop, how 653 C_ARGS: evapi.default_loop, how
494 654
495void ev_feed_fd_event (int fd, int revents = EV_NONE) 655void ev_feed_fd_event (int fd, int revents = EV_NONE)
496 C_ARGS: evapi.default_loop, fd, revents 656 C_ARGS: evapi.default_loop, fd, revents
497 657
498void ev_feed_signal_event (SV *signal) 658void ev_feed_signal_event (SV *signal)
499 CODE: 659 CODE:
500{ 660{
501 Signal signum = sv_signum (signal); 661 Signal signum = s_signum (signal);
502 CHECK_SIG (signal, signum); 662 CHECK_SIG (signal, signum);
503 663
504 ev_feed_signal_event (evapi.default_loop, signum); 664 ev_feed_signal_event (evapi.default_loop, signum);
505} 665}
506 666
667unsigned int ev_pending_count ()
668 C_ARGS: evapi.default_loop
669
670void ev_invoke_pending ()
671 C_ARGS: evapi.default_loop
672
507ev_io *io (SV *fh, int events, SV *cb) 673ev_io *io (SV *fh, int events, SV *cb)
508 ALIAS: 674 ALIAS:
509 io_ns = 1 675 io_ns = 1
676 _ae_io = 2
510 CODE: 677 CODE:
511{ 678{
512 int fd = sv_fileno (fh); 679 int fd = s_fileno (fh, events & EV_WRITE);
513 CHECK_FD (fh, fd); 680 CHECK_FD (fh, fd);
514 681
682 if (ix == 2)
683 {
684 ix = 0;
685 events = events ? EV_WRITE : EV_READ;
686 }
687
515 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv); 688 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
516 RETVAL->fh = newSVsv (fh); 689 e_fh (RETVAL) = newSVsv (fh);
517 ev_io_set (RETVAL, fd, events); 690 ev_io_set (RETVAL, fd, events);
518 if (!ix) START (io, RETVAL); 691 if (!ix) START (io, RETVAL);
519} 692}
520 OUTPUT: 693 OUTPUT:
521 RETVAL 694 RETVAL
537 periodic_ns = 1 710 periodic_ns = 1
538 INIT: 711 INIT:
539 CHECK_REPEAT (interval); 712 CHECK_REPEAT (interval);
540 CODE: 713 CODE:
541{ 714{
542 ev_periodic *w; 715 ev_periodic *w;
543 w = e_new (sizeof (ev_periodic), cb, default_loop_sv); 716 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
544 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 717 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
545 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 718 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
546 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 719 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
547 if (!ix) START (periodic, w); 720 if (!ix) START (periodic, w);
548} 721}
549 OUTPUT: 722 OUTPUT:
550 RETVAL 723 RETVAL
552ev_signal *signal (SV *signal, SV *cb) 725ev_signal *signal (SV *signal, SV *cb)
553 ALIAS: 726 ALIAS:
554 signal_ns = 1 727 signal_ns = 1
555 CODE: 728 CODE:
556{ 729{
557 Signal signum = sv_signum (signal); 730 Signal signum = s_signum (signal);
558 CHECK_SIG (signal, signum); 731 CHECK_SIG (signal, signum);
559 732
560 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv); 733 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
561 ev_signal_set (RETVAL, signum); 734 ev_signal_set (RETVAL, signum);
562 if (!ix) START (signal, RETVAL); 735 if (!ix) START_SIGNAL (RETVAL);
563} 736}
564 OUTPUT: 737 OUTPUT:
565 RETVAL 738 RETVAL
566 739
567ev_idle *idle (SV *cb) 740ev_idle *idle (SV *cb)
602 ev_fork_set (RETVAL); 775 ev_fork_set (RETVAL);
603 if (!ix) START (fork, RETVAL); 776 if (!ix) START (fork, RETVAL);
604 OUTPUT: 777 OUTPUT:
605 RETVAL 778 RETVAL
606 779
780#if CLEANUP_ENABLED
781
782ev_cleanup *cleanup (SV *cb)
783 ALIAS:
784 cleanup_ns = 1
785 CODE:
786 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
787 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
788 ev_cleanup_set (RETVAL);
789 if (!ix) START (cleanup, RETVAL);
790 OUTPUT:
791 RETVAL
792
793#endif
794
607ev_child *child (int pid, SV *cb) 795ev_child *child (int pid, int trace, SV *cb)
608 ALIAS: 796 ALIAS:
609 child_ns = 1 797 child_ns = 1
610 CODE: 798 CODE:
799#if EV_CHILD_ENABLE
611 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv); 800 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
612 ev_child_set (RETVAL, pid); 801 ev_child_set (RETVAL, pid, trace);
613 if (!ix) START (child, RETVAL); 802 if (!ix) START (child, RETVAL);
803#else
804 croak ("EV::child watchers not supported on this platform");
805#endif
614 OUTPUT: 806 OUTPUT:
615 RETVAL 807 RETVAL
808
616 809
617ev_stat *stat (SV *path, NV interval, SV *cb) 810ev_stat *stat (SV *path, NV interval, SV *cb)
618 ALIAS: 811 ALIAS:
619 stat_ns = 1 812 stat_ns = 1
620 CODE: 813 CODE:
621 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv); 814 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
622 RETVAL->fh = newSVsv (path); 815 e_fh (RETVAL) = newSVsv (path);
623 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 816 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
624 if (!ix) START (stat, RETVAL); 817 if (!ix) START (stat, RETVAL);
625 OUTPUT: 818 OUTPUT:
626 RETVAL 819 RETVAL
627 820
821#ifndef EV_NO_LOOPS
822
628ev_embed *embed (struct ev_loop *loop, SV *cb = &PL_sv_undef) 823ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
629 ALIAS: 824 ALIAS:
630 embed_ns = 1 825 embed_ns = 1
631 CODE: 826 CODE:
632{ 827{
633 if (!(ev_backend (loop) & ev_embeddable_backends ())) 828 if (!(ev_backend (loop) & ev_embeddable_backends ()))
634 croak ("passed loop is not embeddable via EV::embed,"); 829 croak ("passed loop is not embeddable via EV::embed,");
635 830
636 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv); 831 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
637 RETVAL->fh = newSVsv (ST (0)); 832 e_fh (RETVAL) = newSVsv (ST (0));
638 ev_embed_set (RETVAL, loop); 833 ev_embed_set (RETVAL, loop);
639
640 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
641
642 if (!ix) START (embed, RETVAL); 834 if (!ix) START (embed, RETVAL);
643} 835}
836 OUTPUT:
837 RETVAL
838
839#endif
840
841ev_async *async (SV *cb)
842 ALIAS:
843 async_ns = 1
844 CODE:
845 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
846 ev_async_set (RETVAL);
847 if (!ix) START (async, RETVAL);
644 OUTPUT: 848 OUTPUT:
645 RETVAL 849 RETVAL
646 850
647void once (SV *fh, int events, SV *timeout, SV *cb) 851void once (SV *fh, int events, SV *timeout, SV *cb)
648 CODE: 852 CODE:
649 ev_once ( 853 ev_once (
650 evapi.default_loop, 854 evapi.default_loop,
651 sv_fileno (fh), events, 855 s_fileno (fh, events & EV_WRITE), events,
652 SvOK (timeout) ? SvNV (timeout) : -1., 856 SvOK (timeout) ? SvNV (timeout) : -1.,
653 e_once_cb, 857 e_once_cb,
654 newSVsv (cb) 858 newSVsv (cb)
655 ); 859 );
656 860
669 C_ARGS: e_loop (w), w 873 C_ARGS: e_loop (w), w
670 874
671void ev_feed_event (ev_watcher *w, int revents = EV_NONE) 875void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
672 C_ARGS: e_loop (w), w, revents 876 C_ARGS: e_loop (w), w, revents
673 877
674int keepalive (ev_watcher *w, int new_value = 0) 878int keepalive (ev_watcher *w, SV *new_value = NO_INIT)
675 CODE: 879 CODE:
676{ 880{
677 RETVAL = w->flags & WFLAG_KEEPALIVE; 881 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
678 new_value = new_value ? WFLAG_KEEPALIVE : 0;
679 882
680 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 883 if (items > 1)
681 { 884 {
682 REF (w); 885 int value = SvTRUE (new_value) ? WFLAG_KEEPALIVE : 0;
886
887 if ((value ^ w->e_flags) & WFLAG_KEEPALIVE)
888 {
683 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value; 889 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | value;
890 REF (w);
684 UNREF (w); 891 UNREF (w);
892 }
685 } 893 }
686} 894}
687 OUTPUT: 895 OUTPUT:
688 RETVAL 896 RETVAL
689 897
690SV *cb (ev_watcher *w, SV *new_cb = 0) 898SV *cb (ev_watcher *w, SV *new_cb = NO_INIT)
691 CODE: 899 CODE:
692{ 900{
693 RETVAL = newSVsv (w->cb_sv);
694
695 if (items > 1) 901 if (items > 1)
696 sv_setsv (w->cb_sv, new_cb); 902 {
903 new_cb = s_get_cv_croak (new_cb);
904 RETVAL = newRV_noinc (w->cb_sv);
905 w->cb_sv = SvREFCNT_inc (new_cb);
906 }
907 else
908 RETVAL = newRV_inc (w->cb_sv);
697} 909}
698 OUTPUT: 910 OUTPUT:
699 RETVAL 911 RETVAL
700 912
701SV *data (ev_watcher *w, SV *new_data = 0) 913SV *data (ev_watcher *w, SV *new_data = NO_INIT)
702 CODE: 914 CODE:
703{ 915{
704 RETVAL = w->data ? newSVsv (w->data) : &PL_sv_undef; 916 RETVAL = w->data ? newSVsv (w->data) : &PL_sv_undef;
705 917
706 if (items > 1) 918 if (items > 1)
716 CODE: 928 CODE:
717 RETVAL = newRV_inc (w->loop); 929 RETVAL = newRV_inc (w->loop);
718 OUTPUT: 930 OUTPUT:
719 RETVAL 931 RETVAL
720 932
721int priority (ev_watcher *w, int new_priority = 0) 933int priority (ev_watcher *w, SV *new_priority = NO_INIT)
722 CODE: 934 CODE:
723{ 935{
724 RETVAL = w->priority; 936 RETVAL = w->priority;
725 937
726 if (items > 1) 938 if (items > 1)
734 XPUSHs (ST (0)); 946 XPUSHs (ST (0));
735 PUTBACK; 947 PUTBACK;
736 call_method ("stop", G_DISCARD | G_VOID); 948 call_method ("stop", G_DISCARD | G_VOID);
737 } 949 }
738 950
739 ev_set_priority (w, new_priority); 951 ev_set_priority (w, SvIV (new_priority));
740 952
741 if (active) 953 if (active)
742 { 954 {
743 PUSHMARK (SP); 955 PUSHMARK (SP);
744 XPUSHs (ST (0)); 956 XPUSHs (ST (0));
766 e_destroy (w); 978 e_destroy (w);
767 979
768void set (ev_io *w, SV *fh, int events) 980void set (ev_io *w, SV *fh, int events)
769 CODE: 981 CODE:
770{ 982{
771 int fd = sv_fileno (fh); 983 int fd = s_fileno (fh, events & EV_WRITE);
772 CHECK_FD (fh, fd); 984 CHECK_FD (fh, fd);
773 985
774 sv_setsv (w->fh, fh); 986 sv_setsv (e_fh (w), fh);
775 RESET (io, w, (w, fd, events)); 987 RESET (io, w, (w, fd, events));
776} 988}
777 989
778SV *fh (ev_io *w, SV *new_fh = 0) 990SV *fh (ev_io *w, SV *new_fh = NO_INIT)
779 CODE: 991 CODE:
780{ 992{
781 if (items > 1) 993 if (items > 1)
782 { 994 {
783 int fd = sv_fileno (new_fh); 995 int fd = s_fileno (new_fh, w->events & EV_WRITE);
784 CHECK_FD (new_fh, fd); 996 CHECK_FD (new_fh, fd);
785 997
786 RETVAL = w->fh; 998 RETVAL = e_fh (w);
787 w->fh = newSVsv (new_fh); 999 e_fh (w) = newSVsv (new_fh);
788 1000
789 RESET (io, w, (w, fd, w->events)); 1001 RESET (io, w, (w, fd, w->events));
790 } 1002 }
791 else 1003 else
792 RETVAL = newSVsv (w->fh); 1004 RETVAL = newSVsv (e_fh (w));
793} 1005}
794 OUTPUT: 1006 OUTPUT:
795 RETVAL 1007 RETVAL
796 1008
797int events (ev_io *w, int new_events = EV_UNDEF) 1009int events (ev_io *w, int new_events = NO_INIT)
798 CODE: 1010 CODE:
799{ 1011{
800 RETVAL = w->events; 1012 RETVAL = w->events;
801 1013
802 if (items > 1) 1014 if (items > 1 && (new_events ^ w->events) & (EV_READ | EV_WRITE))
803 RESET (io, w, (w, w->fd, new_events)); 1015 {
1016 PAUSE (io);
1017 ev_io_modify (w, new_events);
1018 RESUME (io);
1019 }
804} 1020}
805 OUTPUT: 1021 OUTPUT:
806 RETVAL 1022 RETVAL
807 1023
808MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1024MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
809 1025
810void ev_signal_start (ev_signal *w) 1026void ev_signal_start (ev_signal *w)
811 CODE: 1027 CODE:
812 START (signal, w); 1028 START_SIGNAL (w);
813 1029
814void ev_signal_stop (ev_signal *w) 1030void ev_signal_stop (ev_signal *w)
815 CODE: 1031 CODE:
816 STOP (signal, w); 1032 STOP (signal, w);
817 1033
821 e_destroy (w); 1037 e_destroy (w);
822 1038
823void set (ev_signal *w, SV *signal) 1039void set (ev_signal *w, SV *signal)
824 CODE: 1040 CODE:
825{ 1041{
826 Signal signum = sv_signum (signal); 1042 Signal signum = s_signum (signal);
827 CHECK_SIG (signal, signum); 1043 CHECK_SIG (signal, signum);
828 1044
829 RESET (signal, w, (w, signum)); 1045 RESET_SIGNAL (w, (w, signum));
830} 1046}
831 1047
832int signal (ev_signal *w, SV *new_signal = 0) 1048int signal (ev_signal *w, SV *new_signal = NO_INIT)
833 CODE: 1049 CODE:
834{ 1050{
835 RETVAL = w->signum; 1051 RETVAL = w->signum;
836 1052
837 if (items > 1) 1053 if (items > 1)
838 { 1054 {
839 Signal signum = sv_signum (new_signal); 1055 Signal signum = s_signum (new_signal);
840 CHECK_SIG (new_signal, signum); 1056 CHECK_SIG (new_signal, signum);
841 1057 RESET_SIGNAL (w, (w, signum));
842 RESET (signal, w, (w, signum));
843 } 1058 }
844} 1059}
845 OUTPUT: 1060 OUTPUT:
846 RETVAL 1061 RETVAL
847 1062
855 1070
856void ev_timer_stop (ev_timer *w) 1071void ev_timer_stop (ev_timer *w)
857 CODE: 1072 CODE:
858 STOP (timer, w); 1073 STOP (timer, w);
859 1074
860void ev_timer_again (ev_timer *w) 1075void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
861 INIT:
862 CHECK_REPEAT (w->repeat);
863 CODE: 1076 CODE:
864 REF (w); 1077{
1078 if (items > 1)
1079 {
1080 CHECK_REPEAT (repeat);
1081 w->repeat = repeat;
1082 }
1083
865 ev_timer_again (e_loop (w), w); 1084 ev_timer_again (e_loop (w), w);
866 UNREF (w); 1085 UNREF (w);
1086}
1087
1088NV ev_timer_remaining (ev_timer *w)
1089 C_ARGS: e_loop (w), w
867 1090
868void DESTROY (ev_timer *w) 1091void DESTROY (ev_timer *w)
869 CODE: 1092 CODE:
870 STOP (timer, w); 1093 STOP (timer, w);
871 e_destroy (w); 1094 e_destroy (w);
874 INIT: 1097 INIT:
875 CHECK_REPEAT (repeat); 1098 CHECK_REPEAT (repeat);
876 CODE: 1099 CODE:
877 RESET (timer, w, (w, after, repeat)); 1100 RESET (timer, w, (w, after, repeat));
878 1101
879NV at (ev_timer *w) 1102NV repeat (ev_timer *w, SV *new_repeat = NO_INIT)
880 CODE: 1103 CODE:
881 RETVAL = w->at; 1104 RETVAL = w->repeat;
1105 if (items > 1)
1106 {
1107 NV repeat = SvNV (new_repeat);
1108 CHECK_REPEAT (repeat);
1109 w->repeat = repeat;
1110 }
882 OUTPUT: 1111 OUTPUT:
883 RETVAL 1112 RETVAL
884 1113
885MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_ 1114MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_
886 1115
894 CODE: 1123 CODE:
895 STOP (periodic, w); 1124 STOP (periodic, w);
896 1125
897void ev_periodic_again (ev_periodic *w) 1126void ev_periodic_again (ev_periodic *w)
898 CODE: 1127 CODE:
899 REF (w);
900 ev_periodic_again (e_loop (w), w); 1128 ev_periodic_again (e_loop (w), w);
901 UNREF (w); 1129 UNREF (w);
902 1130
903void DESTROY (ev_periodic *w) 1131void DESTROY (ev_periodic *w)
904 CODE: 1132 CODE:
908void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1136void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
909 INIT: 1137 INIT:
910 CHECK_REPEAT (interval); 1138 CHECK_REPEAT (interval);
911 CODE: 1139 CODE:
912{ 1140{
913 SvREFCNT_dec (w->fh); 1141 SvREFCNT_dec (e_fh (w));
914 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1142 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
915 1143
916 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1144 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
917} 1145}
918 1146
919NV at (ev_periodic *w) 1147NV at (ev_periodic *w)
920 CODE: 1148 CODE:
1149 RETVAL = ev_periodic_at (w);
1150 OUTPUT:
1151 RETVAL
1152
1153NV offset (ev_periodic *w, SV *new_offset = NO_INIT)
1154 CODE:
921 RETVAL = w->at; 1155 RETVAL = w->offset;
1156 if (items > 1)
1157 w->offset = SvNV (new_offset);
1158 OUTPUT:
1159 RETVAL
1160
1161NV interval (ev_periodic *w, SV *new_interval = NO_INIT)
1162 CODE:
1163 RETVAL = w->interval;
1164 if (items > 1)
1165 {
1166 NV interval = SvNV (new_interval);
1167 CHECK_REPEAT (interval);
1168 w->interval = interval;
1169 }
1170 OUTPUT:
1171 RETVAL
1172
1173SV *reschedule_cb (ev_periodic *w, SV *new_reschedule_cb = NO_INIT)
1174 CODE:
1175 RETVAL = e_fh (w) ? e_fh (w) : &PL_sv_undef;
1176 if (items > 1)
1177 {
1178 sv_2mortal (RETVAL);
1179 e_fh (w) = SvTRUE (new_reschedule_cb) ? newSVsv (new_reschedule_cb) : 0;
1180 }
922 OUTPUT: 1181 OUTPUT:
923 RETVAL 1182 RETVAL
924 1183
925MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1184MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
926 1185
980void DESTROY (ev_fork *w) 1239void DESTROY (ev_fork *w)
981 CODE: 1240 CODE:
982 STOP (fork, w); 1241 STOP (fork, w);
983 e_destroy (w); 1242 e_destroy (w);
984 1243
1244#if CLEANUP_ENABLED
1245
1246MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1247
1248void ev_cleanup_start (ev_cleanup *w)
1249 CODE:
1250 START (cleanup, w);
1251
1252void ev_cleanup_stop (ev_cleanup *w)
1253 CODE:
1254 STOP (cleanup, w);
1255
1256void DESTROY (ev_cleanup *w)
1257 CODE:
1258 STOP (cleanup, w);
1259 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1260 e_destroy (w);
1261
1262int keepalive (ev_watcher *w, SV *new_value = 0)
1263 CODE:
1264 RETVAL = 1;
1265 OUTPUT:
1266 RETVAL
1267
1268#endif
1269
985MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1270MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1271
1272#if EV_CHILD_ENABLE
986 1273
987void ev_child_start (ev_child *w) 1274void ev_child_start (ev_child *w)
988 CODE: 1275 CODE:
989 START (child, w); 1276 START (child, w);
990 1277
995void DESTROY (ev_child *w) 1282void DESTROY (ev_child *w)
996 CODE: 1283 CODE:
997 STOP (child, w); 1284 STOP (child, w);
998 e_destroy (w); 1285 e_destroy (w);
999 1286
1000void set (ev_child *w, int pid) 1287void set (ev_child *w, int pid, int trace)
1001 CODE: 1288 CODE:
1002 RESET (child, w, (w, pid)); 1289 RESET (child, w, (w, pid, trace));
1003 1290
1004int pid (ev_child *w, int new_pid = 0)
1005 CODE:
1006{
1007 RETVAL = w->pid;
1008
1009 if (items > 1)
1010 RESET (child, w, (w, new_pid));
1011}
1012 OUTPUT:
1013 RETVAL
1014
1015
1016int rstatus (ev_child *w) 1291int pid (ev_child *w)
1017 ALIAS: 1292 ALIAS:
1018 rpid = 1 1293 rpid = 1
1294 rstatus = 2
1019 CODE: 1295 CODE:
1020 RETVAL = ix ? w->rpid : w->rstatus; 1296 RETVAL = ix == 0 ? w->pid
1297 : ix == 1 ? w->rpid
1298 : w->rstatus;
1021 OUTPUT: 1299 OUTPUT:
1022 RETVAL 1300 RETVAL
1301
1302#endif
1023 1303
1024MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1304MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
1025 1305
1026void ev_stat_start (ev_stat *w) 1306void ev_stat_start (ev_stat *w)
1027 CODE: 1307 CODE:
1037 e_destroy (w); 1317 e_destroy (w);
1038 1318
1039void set (ev_stat *w, SV *path, NV interval) 1319void set (ev_stat *w, SV *path, NV interval)
1040 CODE: 1320 CODE:
1041{ 1321{
1042 sv_setsv (w->fh, path); 1322 sv_setsv (e_fh (w), path);
1043 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1323 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
1044} 1324}
1045 1325
1046SV *path (ev_stat *w, SV *new_path = 0) 1326SV *path (ev_stat *w, SV *new_path = NO_INIT)
1047 CODE: 1327 CODE:
1048{ 1328{
1049 RETVAL = SvREFCNT_inc (w->fh); 1329 RETVAL = e_fh (w) ? e_fh (w) : &PL_sv_undef;
1050 1330
1051 if (items > 1) 1331 if (items > 1)
1052 { 1332 {
1053 SvREFCNT_dec (w->fh); 1333 sv_2mortal (RETVAL);
1054 w->fh = newSVsv (new_path); 1334 e_fh (w) = newSVsv (new_path);
1055 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1335 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
1056 } 1336 }
1057} 1337}
1058 OUTPUT: 1338 OUTPUT:
1059 RETVAL 1339 RETVAL
1060 1340
1061NV interval (ev_stat *w, NV new_interval = 0.) 1341NV interval (ev_stat *w, SV *new_interval = NO_INIT)
1062 CODE: 1342 CODE:
1063{
1064 RETVAL = w->interval; 1343 RETVAL = w->interval;
1065
1066 if (items > 1) 1344 if (items > 1)
1067 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1345 {
1068} 1346 PAUSE (stat);
1347 w->interval = SvNV (new_interval);
1348 RESUME (stat);
1349 }
1069 OUTPUT: 1350 OUTPUT:
1070 RETVAL 1351 RETVAL
1071 1352
1072void prev (ev_stat *w) 1353void prev (ev_stat *w)
1073 ALIAS: 1354 ALIAS:
1131 e_destroy (w); 1412 e_destroy (w);
1132 1413
1133void set (ev_embed *w, struct ev_loop *loop) 1414void set (ev_embed *w, struct ev_loop *loop)
1134 CODE: 1415 CODE:
1135{ 1416{
1136 sv_setsv (w->fh, ST (1)); 1417 sv_setsv (e_fh (w), ST (1));
1137 RESET (embed, w, (w, loop)); 1418 RESET (embed, w, (w, loop));
1138} 1419}
1139 1420
1140SV *other (ev_embed *w) 1421SV *other (ev_embed *w)
1141 CODE: 1422 CODE:
1142 RETVAL = newSVsv (w->fh); 1423 RETVAL = newSVsv (e_fh (w));
1143 OUTPUT: 1424 OUTPUT:
1144 RETVAL 1425 RETVAL
1426
1427void ev_embed_sweep (ev_embed *w)
1428 C_ARGS: e_loop (w), w
1429
1430MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1431
1432void ev_async_start (ev_async *w)
1433 CODE:
1434 START (async, w);
1435
1436void ev_async_stop (ev_async *w)
1437 CODE:
1438 STOP (async, w);
1439
1440void DESTROY (ev_async *w)
1441 CODE:
1442 STOP (async, w);
1443 e_destroy (w);
1444
1445void ev_async_send (ev_async *w)
1446 C_ARGS: e_loop (w), w
1447
1448SV *ev_async_async_pending (ev_async *w)
1449 CODE:
1450 RETVAL = boolSV (ev_async_pending (w));
1451 OUTPUT:
1452 RETVAL
1453
1454#ifndef EV_NO_LOOPS
1145 1455
1146MODULE = EV PACKAGE = EV::Loop PREFIX = ev_ 1456MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1147 1457
1148SV *new (SV *klass, unsigned int flags = 0) 1458SV *new (SV *klass, unsigned int flags = 0)
1149 CODE: 1459 CODE:
1158 OUTPUT: 1468 OUTPUT:
1159 RETVAL 1469 RETVAL
1160 1470
1161void DESTROY (struct ev_loop *loop) 1471void DESTROY (struct ev_loop *loop)
1162 CODE: 1472 CODE:
1163 if (loop != evapi.default_loop) /* global destruction sucks */ 1473 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1474 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1475 if (loop != evapi.default_loop)
1164 ev_loop_destroy (loop); 1476 ev_loop_destroy (loop);
1165 1477
1166void ev_loop_fork (struct ev_loop *loop) 1478void ev_loop_fork (struct ev_loop *loop)
1167 1479
1168NV ev_now (struct ev_loop *loop) 1480NV ev_now (struct ev_loop *loop)
1169 1481
1482void ev_now_update (struct ev_loop *loop)
1483
1484void ev_suspend (struct ev_loop *loop)
1485
1486void ev_resume (struct ev_loop *loop)
1487
1170void ev_set_io_collect_interval (struct ev_loop *loop, NV interval) 1488void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1171 1489
1172void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval) 1490void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1173 1491
1174unsigned int ev_backend (struct ev_loop *loop) 1492unsigned int ev_backend (struct ev_loop *loop)
1175 1493
1176unsigned int ev_loop_count (struct ev_loop *loop) 1494void ev_verify (struct ev_loop *loop)
1495 ALIAS:
1496 loop_verify = 1
1177 1497
1178void ev_loop (struct ev_loop *loop, int flags = 0) 1498unsigned int ev_iteration (struct ev_loop *loop)
1499 ALIAS:
1500 loop_count = 1
1179 1501
1502unsigned int ev_depth (struct ev_loop *loop)
1503 ALIAS:
1504 loop_depth = 1
1505
1506int ev_run (struct ev_loop *loop, int flags = 0)
1507 ALIAS:
1508 loop = 1
1509
1180void ev_unloop (struct ev_loop *loop, int how = 1) 1510void ev_break (struct ev_loop *loop, int how = 1)
1511 ALIAS:
1512 unloop = 1
1181 1513
1182void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE) 1514void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1183 1515
1516unsigned int ev_pending_count (struct ev_loop *loop)
1517
1518void ev_invoke_pending (struct ev_loop *loop)
1519
1184#if 0 1520#if 0
1185 1521
1186void ev_feed_signal_event (struct ev_loop *loop, SV *signal) 1522void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1187 CODE: 1523 CODE:
1188{ 1524{
1189 Signal signum = sv_signum (signal); 1525 Signal signum = s_signum (signal);
1190 CHECK_SIG (signal, signum); 1526 CHECK_SIG (signal, signum);
1191 1527
1192 ev_feed_signal_event (loop, signum); 1528 ev_feed_signal_event (loop, signum);
1193} 1529}
1194 1530
1197ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb) 1533ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1198 ALIAS: 1534 ALIAS:
1199 io_ns = 1 1535 io_ns = 1
1200 CODE: 1536 CODE:
1201{ 1537{
1202 int fd = sv_fileno (fh); 1538 int fd = s_fileno (fh, events & EV_WRITE);
1203 CHECK_FD (fh, fd); 1539 CHECK_FD (fh, fd);
1204 1540
1205 RETVAL = e_new (sizeof (ev_io), cb, ST (0)); 1541 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1206 RETVAL->fh = newSVsv (fh); 1542 e_fh (RETVAL) = newSVsv (fh);
1207 ev_io_set (RETVAL, fd, events); 1543 ev_io_set (RETVAL, fd, events);
1208 if (!ix) START (io, RETVAL); 1544 if (!ix) START (io, RETVAL);
1209} 1545}
1210 OUTPUT: 1546 OUTPUT:
1211 RETVAL 1547 RETVAL
1227 periodic_ns = 1 1563 periodic_ns = 1
1228 INIT: 1564 INIT:
1229 CHECK_REPEAT (interval); 1565 CHECK_REPEAT (interval);
1230 CODE: 1566 CODE:
1231{ 1567{
1232 ev_periodic *w; 1568 ev_periodic *w;
1233 w = e_new (sizeof (ev_periodic), cb, ST (0)); 1569 w = e_new (sizeof (ev_periodic), cb, ST (0));
1234 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1570 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1235 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 1571 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1236 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 1572 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1237 if (!ix) START (periodic, w); 1573 if (!ix) START (periodic, w);
1238} 1574}
1239 OUTPUT: 1575 OUTPUT:
1240 RETVAL 1576 RETVAL
1241 1577
1242#if 0
1243
1244ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb) 1578ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1245 ALIAS: 1579 ALIAS:
1246 signal_ns = 1 1580 signal_ns = 1
1247 CODE: 1581 CODE:
1248{ 1582{
1249 Signal signum = sv_signum (signal); 1583 Signal signum = s_signum (signal);
1250 CHECK_SIG (signal, signum); 1584 CHECK_SIG (signal, signum);
1251 1585
1252 RETVAL = e_new (sizeof (ev_signal), cb, ST (0)); 1586 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1253 ev_signal_set (RETVAL, signum); 1587 ev_signal_set (RETVAL, signum);
1254 if (!ix) START (signal, RETVAL); 1588 if (!ix) START_SIGNAL (RETVAL);
1255} 1589}
1256 OUTPUT: 1590 OUTPUT:
1257 RETVAL 1591 RETVAL
1258
1259#endif
1260 1592
1261ev_idle *idle (struct ev_loop *loop, SV *cb) 1593ev_idle *idle (struct ev_loop *loop, SV *cb)
1262 ALIAS: 1594 ALIAS:
1263 idle_ns = 1 1595 idle_ns = 1
1264 CODE: 1596 CODE:
1296 ev_fork_set (RETVAL); 1628 ev_fork_set (RETVAL);
1297 if (!ix) START (fork, RETVAL); 1629 if (!ix) START (fork, RETVAL);
1298 OUTPUT: 1630 OUTPUT:
1299 RETVAL 1631 RETVAL
1300 1632
1633#if CLEANUP_ENABLED
1634
1635ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1636 ALIAS:
1637 cleanup_ns = 1
1638 CODE:
1639 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1640 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1641 ev_cleanup_set (RETVAL);
1642 if (!ix) START (cleanup, RETVAL);
1643 OUTPUT:
1644 RETVAL
1645
1646#endif
1647
1301ev_child *child (struct ev_loop *loop, int pid, SV *cb) 1648ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1302 ALIAS: 1649 ALIAS:
1303 child_ns = 1 1650 child_ns = 1
1304 CODE: 1651 CODE:
1652#if EV_CHILD_ENABLE
1305 RETVAL = e_new (sizeof (ev_child), cb, ST (0)); 1653 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1306 ev_child_set (RETVAL, pid); 1654 ev_child_set (RETVAL, pid, trace);
1307 if (!ix) START (child, RETVAL); 1655 if (!ix) START (child, RETVAL);
1656#else
1657 croak ("EV::child watchers not supported on this platform");
1658#endif
1308 OUTPUT: 1659 OUTPUT:
1309 RETVAL 1660 RETVAL
1310 1661
1311ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb) 1662ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1312 ALIAS: 1663 ALIAS:
1313 stat_ns = 1 1664 stat_ns = 1
1314 CODE: 1665 CODE:
1315 RETVAL = e_new (sizeof (ev_stat), cb, ST (0)); 1666 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1316 RETVAL->fh = newSVsv (path); 1667 e_fh (RETVAL) = newSVsv (path);
1317 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 1668 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1318 if (!ix) START (stat, RETVAL); 1669 if (!ix) START (stat, RETVAL);
1319 OUTPUT: 1670 OUTPUT:
1320 RETVAL 1671 RETVAL
1321 1672
1322ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = &PL_sv_undef) 1673ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1323 ALIAS: 1674 ALIAS:
1324 embed_ns = 1 1675 embed_ns = 1
1325 CODE: 1676 CODE:
1326{ 1677{
1327 if (!(ev_backend (other) & ev_embeddable_backends ())) 1678 if (!(ev_backend (other) & ev_embeddable_backends ()))
1328 croak ("passed loop is not embeddable via EV::embed,"); 1679 croak ("passed loop is not embeddable via EV::embed,");
1329 1680
1330 RETVAL = e_new (sizeof (ev_embed), cb, ST (0)); 1681 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1331 RETVAL->fh = newSVsv (ST (1)); 1682 e_fh (RETVAL) = newSVsv (ST (1));
1332 ev_embed_set (RETVAL, other); 1683 ev_embed_set (RETVAL, other);
1333
1334 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
1335
1336 if (!ix) START (embed, RETVAL); 1684 if (!ix) START (embed, RETVAL);
1337} 1685}
1686 OUTPUT:
1687 RETVAL
1688
1689ev_async *async (struct ev_loop *loop, SV *cb)
1690 ALIAS:
1691 async_ns = 1
1692 CODE:
1693 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1694 ev_async_set (RETVAL);
1695 if (!ix) START (async, RETVAL);
1338 OUTPUT: 1696 OUTPUT:
1339 RETVAL 1697 RETVAL
1340 1698
1341void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb) 1699void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1342 CODE: 1700 CODE:
1343 ev_once ( 1701 ev_once (
1344 loop, 1702 loop,
1345 sv_fileno (fh), events, 1703 s_fileno (fh, events & EV_WRITE), events,
1346 SvOK (timeout) ? SvNV (timeout) : -1., 1704 SvOK (timeout) ? SvNV (timeout) : -1.,
1347 e_once_cb, 1705 e_once_cb,
1348 newSVsv (cb) 1706 newSVsv (cb)
1349 ); 1707 );
1350 1708
1709#endif
1710

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines