ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.103 by root, Wed Dec 26 14:55:22 2007 UTC vs.
Revision 1.182 by root, Tue Feb 18 16:50:21 2020 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/ 5/* fix perl api breakage */
6#ifndef WIN32
7# undef signal
8# undef sigaction
9#endif
6 10
11#include "schmorp.h"
12
13/* old API compatibility */
14static int
15sv_fileno (SV *fh)
16{
17 return s_fileno (fh, 0);
18}
19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26#else
27# define NDEBUG 1
28#endif
29
30/* make sure we get a real assert, not perl's incompatible version */
31#undef assert
32#include <assert.h>
33
34#define EV_STANDALONE 1
7#define EV_PROTOTYPES 1 35#define EV_PROTOTYPES 1
8#define EV_USE_NANOSLEEP EV_USE_MONOTONIC 36#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
9#define EV_H <ev.h> 37#define EV_USE_FLOOR 1
38#define EV_API_STATIC
39#define EV_H "../libev/ev.h"
40#define EV_CONFIG_H error
10#include "EV/EVAPI.h" 41#include "EV/EVAPI.h"
11
12/* fix perl api breakage */
13#undef signal
14#undef sigaction
15 42
16#define EV_SELECT_IS_WINSOCKET 0 43#define EV_SELECT_IS_WINSOCKET 0
17#ifdef _WIN32 44#ifdef _WIN32
18# define EV_SELECT_USE_FD_SET 0 45# define EV_SELECT_USE_FD_SET 0
19# define NFDBITS PERL_NFDBITS 46# define NFDBITS PERL_NFDBITS
20# define fd_mask Perl_fd_mask 47# define fd_mask Perl_fd_mask
21#endif 48#endif
22/* due to bugs in OS X we have to use libev/ explicitly here */ 49/* due to bugs in OS X we have to use libev/ explicitly here */
23#include "libev/ev.c" 50#include "libev/ev.c"
24 51
25#ifndef _WIN32 52#if !defined _WIN32 && !defined __minix && !EV_NO_ATFORK
26# include <pthread.h> 53# include <pthread.h>
27#endif 54#endif
28 55
29#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX ((w)->loop)) 56#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
57#define e_flags(w) ((ev_watcher *)(w))->e_flags
58#define e_self(w) ((ev_watcher *)(w))->self
59#define e_fh(w) ((ev_watcher *)(w))->fh
60#define e_data(w) ((ev_watcher *)(w))->data
30 61
31#define WFLAG_KEEPALIVE 1 62#define WFLAG_KEEPALIVE 1
63#define WFLAG_UNREFED 2 /* has been unref'ed */
32 64
33#define UNREF(w) \ 65#define UNREF(w) \
34 if (!((w)->flags & WFLAG_KEEPALIVE) \ 66 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
35 && !ev_is_active (w)) \ 67 && ev_is_active (w)) \
68 { \
36 ev_unref (e_loop (w)); 69 ev_unref (e_loop (w)); \
70 e_flags (w) |= WFLAG_UNREFED; \
71 }
37 72
38#define REF(w) \ 73#define REF(w) \
39 if (!((w)->flags & WFLAG_KEEPALIVE) \ 74 if (e_flags (w) & WFLAG_UNREFED) \
40 && ev_is_active (w)) \ 75 { \
76 e_flags (w) &= ~WFLAG_UNREFED; \
41 ev_ref (e_loop (w)); 77 ev_ref (e_loop (w)); \
78 }
42 79
43#define START(type,w) \ 80#define START(type,w) \
44 do { \ 81 do { \
82 ev_ ## type ## _start (e_loop (w), w); \
45 UNREF (w); \ 83 UNREF (w); \
46 ev_ ## type ## _start (e_loop (w), w); \
47 } while (0) 84 } while (0)
48 85
49#define STOP(type,w) \ 86#define STOP(type,w) \
50 do { \ 87 do { \
51 REF (w); \ 88 REF (w); \
52 ev_ ## type ## _stop (e_loop (w), w); \ 89 ev_ ## type ## _stop (e_loop (w), w); \
53 } while (0) 90 } while (0)
54 91
92#define PAUSE(type) \
93 do { \
94 int active = ev_is_active (w); \
95 if (active) STOP (type, w)
96
97#define RESUME(type) \
98 if (active) START (type, w); \
99 } while (0)
100
101
55#define RESET(type,w,seta) \ 102#define RESET(type,w,seta) \
56 do { \ 103 PAUSE (type); \
57 int active = ev_is_active (w); \
58 if (active) STOP (type, w); \
59 ev_ ## type ## _set seta; \ 104 ev_ ## type ## _set seta; \
60 if (active) START (type, w); \ 105 RESUME (type)
61 } while (0)
62 106
63typedef int Signal; 107typedef int Signal;
108
109/* horrible... */
110#define CHECK_SIGNAL_CAN_START(w) \
111 do { \
112 /* dive into the internals of libev to avoid aborting in libev */ \
113 if (signals [(w)->signum - 1].loop \
114 && signals [(w)->signum - 1].loop != e_loop (w)) \
115 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
116 } while (0)
117
118#define START_SIGNAL(w) \
119 do { \
120 CHECK_SIGNAL_CAN_START (w); \
121 START (signal, w); \
122 } while (0) \
123
124#define RESET_SIGNAL(w,seta) \
125 do { \
126 int active = ev_is_active (w); \
127 if (active) STOP (signal, w); \
128 ev_ ## signal ## _set seta; \
129 if (active) START_SIGNAL (w); \
130 } while (0)
64 131
65static SV *default_loop_sv; 132static SV *default_loop_sv;
66 133
67static struct EVAPI evapi; 134static struct EVAPI evapi;
68 135
77 *stash_stat, 144 *stash_stat,
78 *stash_idle, 145 *stash_idle,
79 *stash_prepare, 146 *stash_prepare,
80 *stash_check, 147 *stash_check,
81 *stash_embed, 148 *stash_embed,
82 *stash_fork; 149 *stash_fork,
83 150 *stash_cleanup,
84#ifndef SIG_SIZE 151 *stash_async;
85/* kudos to Slaven Rezic for the idea */
86static char sig_size [] = { SIG_NUM };
87# define SIG_SIZE (sizeof (sig_size) + 1)
88#endif
89
90static Signal
91sv_signum (SV *sig)
92{
93 Signal signum;
94
95 SvGETMAGIC (sig);
96
97 for (signum = 1; signum < SIG_SIZE; ++signum)
98 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
99 return signum;
100
101 signum = SvIV (sig);
102
103 if (signum > 0 && signum < SIG_SIZE)
104 return signum;
105
106 return -1;
107}
108 152
109///////////////////////////////////////////////////////////////////////////// 153/////////////////////////////////////////////////////////////////////////////
110// Event 154// Event
111 155
112static void e_cb (EV_P_ ev_watcher *w, int revents); 156static void e_cb (EV_P_ ev_watcher *w, int revents);
113 157
114static int
115sv_fileno (SV *fh)
116{
117 SvGETMAGIC (fh);
118
119 if (SvROK (fh))
120 fh = SvRV (fh);
121
122 if (SvTYPE (fh) == SVt_PVGV)
123 return PerlIO_fileno (IoIFP (sv_2io (fh)));
124
125 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
126 return SvIV (fh);
127
128 return -1;
129}
130
131static void * 158static void *
132e_new (int size, SV *cb_sv, SV *loop) 159e_new (int size, SV *cb_sv, SV *loop)
133{ 160{
161 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
134 ev_watcher *w; 162 ev_watcher *w;
135 SV *self = NEWSV (0, size); 163 SV *self = NEWSV (0, size);
136 SvPOK_only (self); 164 SvPOK_only (self);
137 SvCUR_set (self, size); 165 SvCUR_set (self, size);
138 166
139 w = (ev_watcher *)SvPVX (self); 167 w = (ev_watcher *)SvPVX (self);
140 168
141 ev_init (w, e_cb); 169 ev_init (w, cv ? e_cb : 0);
142 170
143 w->loop = SvREFCNT_inc (SvRV (loop)); 171 w->loop = SvREFCNT_inc (SvRV (loop));
144 w->flags = WFLAG_KEEPALIVE; 172 w->e_flags = WFLAG_KEEPALIVE;
145 w->data = 0; 173 w->data = 0;
146 w->fh = 0; 174 w->fh = 0;
147 w->cb_sv = SvTEMP (cb_sv) && SvREFCNT (cb_sv) == 1 ? SvREFCNT_inc (cb_sv) : newSVsv (cb_sv); 175 w->cb_sv = SvREFCNT_inc (cv);
148 w->self = self; 176 w->self = self;
149 177
150 return (void *)w; 178 return (void *)w;
151} 179}
152 180
153static void 181static void
176 } 204 }
177 205
178 return rv; 206 return rv;
179} 207}
180 208
181static SV *sv_events_cache; 209static SV *sv_self_cache, *sv_events_cache;
182 210
183static void 211static void
184e_cb (EV_P_ ev_watcher *w, int revents) 212e_cb (EV_P_ ev_watcher *w, int revents)
185{ 213{
186 dSP; 214 dSP;
187 I32 mark = SP - PL_stack_base; 215 I32 mark = SP - PL_stack_base;
188 SV *sv_self, *sv_events; 216 SV *sv_self, *sv_events;
189 217
218 /* libev might have stopped the watcher */
219 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
220 && !ev_is_active (w))
221 REF (w);
222
223 if (ecb_expect_true (sv_self_cache))
224 {
225 sv_self = sv_self_cache; sv_self_cache = 0;
226 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
227 }
228 else
229 {
190 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 230 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
231 SvREADONLY_on (sv_self);
232 }
233
234 if (ecb_expect_true (sv_events_cache))
235 {
236 sv_events = sv_events_cache; sv_events_cache = 0;
237 SvIV_set (sv_events, revents);
238 SvIOK_only (sv_events);
239 }
240 else
241 {
242 sv_events = newSViv (revents);
243 SvREADONLY_on (sv_events);
244 }
245
246 PUSHMARK (SP);
247 EXTEND (SP, 2);
248 PUSHs (sv_self);
249 PUSHs (sv_events);
250
251 PUTBACK;
252 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
253
254 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
255 SvREFCNT_dec (sv_self);
256 else
257 {
258 SvREFCNT_dec (SvRV (sv_self));
259 SvRV_set (sv_self, &PL_sv_undef);
260 sv_self_cache = sv_self;
261 }
262
263 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
264 SvREFCNT_dec (sv_events);
265 else
266 sv_events_cache = sv_events;
267
268 if (ecb_expect_false (SvTRUE (ERRSV)))
269 {
270 SPAGAIN;
271 PUSHMARK (SP);
272 PUTBACK;
273 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
274 }
275
276 SP = PL_stack_base + mark;
277 PUTBACK;
278}
279
280static void
281e_once_cb (int revents, void *arg)
282{
283 dSP;
284 I32 mark = SP - PL_stack_base;
285 SV *sv_events;
191 286
192 if (sv_events_cache) 287 if (sv_events_cache)
193 { 288 {
194 sv_events = sv_events_cache; sv_events_cache = 0; 289 sv_events = sv_events_cache; sv_events_cache = 0;
195 SvIV_set (sv_events, revents); 290 SvIV_set (sv_events, revents);
196 } 291 }
197 else 292 else
198 sv_events = newSViv (revents); 293 sv_events = newSViv (revents);
199 294
200 PUSHMARK (SP); 295 PUSHMARK (SP);
201 EXTEND (SP, 2);
202 PUSHs (sv_self);
203 PUSHs (sv_events); 296 XPUSHs (sv_events);
204 297
205 PUTBACK; 298 PUTBACK;
206 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 299 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
207 300
208 SvREFCNT_dec (sv_self); 301 SvREFCNT_dec ((SV *)arg);
209 302
210 if (sv_events_cache) 303 if (sv_events_cache)
211 SvREFCNT_dec (sv_events); 304 SvREFCNT_dec (sv_events);
212 else 305 else
213 sv_events_cache = sv_events; 306 sv_events_cache = sv_events;
222 315
223 SP = PL_stack_base + mark; 316 SP = PL_stack_base + mark;
224 PUTBACK; 317 PUTBACK;
225} 318}
226 319
227static void
228e_once_cb (int revents, void *arg)
229{
230 dSP;
231 I32 mark = SP - PL_stack_base;
232 SV *sv_events;
233
234 if (sv_events_cache)
235 {
236 sv_events = sv_events_cache; sv_events_cache = 0;
237 SvIV_set (sv_events, revents);
238 }
239 else
240 sv_events = newSViv (revents);
241
242 PUSHMARK (SP);
243 XPUSHs (sv_events);
244
245 PUTBACK;
246 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
247
248 SvREFCNT_dec ((SV *)arg);
249
250 if (sv_events_cache)
251 SvREFCNT_dec (sv_events);
252 else
253 sv_events_cache = sv_events;
254
255 if (SvTRUE (ERRSV))
256 {
257 SPAGAIN;
258 PUSHMARK (SP);
259 PUTBACK;
260 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
261 }
262
263 SP = PL_stack_base + mark;
264 PUTBACK;
265}
266
267static ev_tstamp 320static ev_tstamp
268e_periodic_cb (ev_periodic *w, ev_tstamp now) 321e_periodic_cb (ev_periodic *w, ev_tstamp now)
269{ 322{
270 ev_tstamp retval; 323 ev_tstamp retval;
271 int count; 324 int count;
274 ENTER; 327 ENTER;
275 SAVETMPS; 328 SAVETMPS;
276 329
277 PUSHMARK (SP); 330 PUSHMARK (SP);
278 EXTEND (SP, 2); 331 EXTEND (SP, 2);
279 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 332 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
280 PUSHs (newSVnv (now)); 333 PUSHs (newSVnv (now));
281 334
282 PUTBACK; 335 PUTBACK;
283 count = call_sv (w->fh, G_SCALAR | G_EVAL); 336 count = call_sv (w->fh, G_SCALAR | G_EVAL);
284 SPAGAIN; 337 SPAGAIN;
314 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 367 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
315 368
316#define CHECK_SIG(sv,num) if ((num) < 0) \ 369#define CHECK_SIG(sv,num) if ((num) < 0) \
317 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 370 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
318 371
372static void
373default_fork (void)
374{
375 ev_loop_fork (EV_DEFAULT_UC);
376}
377
319///////////////////////////////////////////////////////////////////////////// 378/////////////////////////////////////////////////////////////////////////////
320// XS interface functions 379// XS interface functions
321 380
322MODULE = EV PACKAGE = EV PREFIX = ev_ 381MODULE = EV PACKAGE = EV PREFIX = ev_
323 382
335 const_iv (EV_, MINPRI) 394 const_iv (EV_, MINPRI)
336 const_iv (EV_, MAXPRI) 395 const_iv (EV_, MAXPRI)
337 396
338 const_iv (EV_, UNDEF) 397 const_iv (EV_, UNDEF)
339 const_iv (EV_, NONE) 398 const_iv (EV_, NONE)
340 const_iv (EV_, TIMEOUT)
341 const_iv (EV_, READ) 399 const_iv (EV_, READ)
342 const_iv (EV_, WRITE) 400 const_iv (EV_, WRITE)
401 const_iv (EV_, IO)
402 const_iv (EV_, TIMER)
403 const_iv (EV_, PERIODIC)
343 const_iv (EV_, SIGNAL) 404 const_iv (EV_, SIGNAL)
405 const_iv (EV_, CHILD)
406 const_iv (EV_, STAT)
344 const_iv (EV_, IDLE) 407 const_iv (EV_, IDLE)
408 const_iv (EV_, PREPARE)
409 /*const_iv (EV_, CHECK) needs special tretament */
410 const_iv (EV_, EMBED)
345 const_iv (EV_, CHECK) 411 const_iv (EV_, FORK)
412 const_iv (EV_, CLEANUP)
413 const_iv (EV_, ASYNC)
414 const_iv (EV_, CUSTOM)
346 const_iv (EV_, ERROR) 415 const_iv (EV_, ERROR)
347 416
348 const_iv (EV, LOOP_ONESHOT) 417 const_iv (EV, RUN_NOWAIT)
349 const_iv (EV, LOOP_NONBLOCK)
350 const_iv (EV, UNLOOP_ONE) 418 const_iv (EV, RUN_ONCE)
419
420 const_iv (EV, BREAK_CANCEL)
421 const_iv (EV, BREAK_ONE)
351 const_iv (EV, UNLOOP_ALL) 422 const_iv (EV, BREAK_ALL)
352
353 const_iv (EV, BACKEND_SELECT) 423 const_iv (EV, BACKEND_SELECT)
354 const_iv (EV, BACKEND_POLL) 424 const_iv (EV, BACKEND_POLL)
355 const_iv (EV, BACKEND_EPOLL) 425 const_iv (EV, BACKEND_EPOLL)
356 const_iv (EV, BACKEND_KQUEUE) 426 const_iv (EV, BACKEND_KQUEUE)
357 const_iv (EV, BACKEND_DEVPOLL) 427 const_iv (EV, BACKEND_DEVPOLL)
358 const_iv (EV, BACKEND_PORT) 428 const_iv (EV, BACKEND_PORT)
429 const_iv (EV, BACKEND_ALL)
430 const_iv (EV, BACKEND_MASK)
359 const_iv (EV, FLAG_AUTO) 431 const_iv (EV, FLAG_AUTO)
432 const_iv (EV, FLAG_FORKCHECK)
433 const_iv (EV, FLAG_SIGNALFD)
434 const_iv (EV, FLAG_NOSIGMASK)
360 const_iv (EV, FLAG_NOENV) 435 const_iv (EV, FLAG_NOENV)
361 const_iv (EV, FLAG_FORKCHECK) 436 const_iv (EV, FLAG_NOINOTIFY)
437
438 const_iv (EV_, VERSION_MAJOR)
439 const_iv (EV_, VERSION_MINOR)
440#if EV_COMPAT3
441 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
442 const_iv (EV_, TIMEOUT)
443 const_iv (EV, LOOP_NONBLOCK)
444 const_iv (EV, LOOP_ONESHOT)
445 const_iv (EV, UNLOOP_CANCEL)
446 const_iv (EV, UNLOOP_ONE)
447 const_iv (EV, UNLOOP_ALL)
448#endif
362 }; 449 };
363 450
364 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 451 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
365 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 452 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
453
454 /* since this clashes with perl CHECK blocks, */
455 /* but we are interested in constants, */
456 /* and not blocks, we treat CHECK specially. */
457 {
458 /* the local $^W = 0 takes care of the warning */
459 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
460 /* now we need to re-set the gv, in case it was hijacked */
461 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
462 }
366 463
367 stash_loop = gv_stashpv ("EV::Loop" , 1); 464 stash_loop = gv_stashpv ("EV::Loop" , 1);
368 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 465 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
369 stash_io = gv_stashpv ("EV::IO" , 1); 466 stash_io = gv_stashpv ("EV::IO" , 1);
370 stash_timer = gv_stashpv ("EV::Timer" , 1); 467 stash_timer = gv_stashpv ("EV::Timer" , 1);
375 stash_check = gv_stashpv ("EV::Check" , 1); 472 stash_check = gv_stashpv ("EV::Check" , 1);
376 stash_child = gv_stashpv ("EV::Child" , 1); 473 stash_child = gv_stashpv ("EV::Child" , 1);
377 stash_embed = gv_stashpv ("EV::Embed" , 1); 474 stash_embed = gv_stashpv ("EV::Embed" , 1);
378 stash_stat = gv_stashpv ("EV::Stat" , 1); 475 stash_stat = gv_stashpv ("EV::Stat" , 1);
379 stash_fork = gv_stashpv ("EV::Fork" , 1); 476 stash_fork = gv_stashpv ("EV::Fork" , 1);
477 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
478 stash_async = gv_stashpv ("EV::Async" , 1);
380 479
381 { 480 {
382 SV *sv = perl_get_sv ("EV::API", TRUE); 481 SV *sv = perl_get_sv ("EV::API", TRUE);
383 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 482 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
384 483
385 /* the poor man's shared library emulator */ 484 /* the poor man's shared library emulator */
386 evapi.ver = EV_API_VERSION; 485 evapi.ver = EV_API_VERSION;
387 evapi.rev = EV_API_REVISION; 486 evapi.rev = EV_API_REVISION;
388 evapi.sv_fileno = sv_fileno; 487 evapi.sv_fileno = sv_fileno;
389 evapi.sv_signum = sv_signum; 488 evapi.sv_signum = s_signum;
390 evapi.supported_backends = ev_supported_backends (); 489 evapi.supported_backends = ev_supported_backends ();
391 evapi.recommended_backends = ev_recommended_backends (); 490 evapi.recommended_backends = ev_recommended_backends ();
392 evapi.embeddable_backends = ev_embeddable_backends (); 491 evapi.embeddable_backends = ev_embeddable_backends ();
393 evapi.time = ev_time; 492 evapi.time_ = ev_time;
394 evapi.sleep = ev_sleep; 493 evapi.sleep_ = ev_sleep;
395 evapi.loop_new = ev_loop_new; 494 evapi.loop_new = ev_loop_new;
396 evapi.loop_destroy = ev_loop_destroy; 495 evapi.loop_destroy = ev_loop_destroy;
397 evapi.loop_fork = ev_loop_fork; 496 evapi.loop_fork = ev_loop_fork;
398 evapi.loop_count = ev_loop_count; 497 evapi.iteration = ev_iteration;
498 evapi.depth = ev_depth;
499 evapi.set_userdata = ev_set_userdata;
500 evapi.userdata = ev_userdata;
399 evapi.now = ev_now; 501 evapi.now = ev_now;
502 evapi.now_update = ev_now_update;
503 evapi.suspend = ev_suspend;
504 evapi.resume = ev_resume;
400 evapi.backend = ev_backend; 505 evapi.backend = ev_backend;
401 evapi.unloop = ev_unloop; 506 evapi.break_ = ev_break;
507 evapi.invoke_pending = ev_invoke_pending;
508 evapi.pending_count = ev_pending_count;
509 evapi.verify = ev_verify;
510 evapi.set_loop_release_cb = ev_set_loop_release_cb;
511 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
402 evapi.ref = ev_ref; 512 evapi.ref = ev_ref;
403 evapi.unref = ev_unref; 513 evapi.unref = ev_unref;
404 evapi.loop = ev_loop; 514 evapi.run = ev_run;
405 evapi.once = ev_once; 515 evapi.once = ev_once;
406 evapi.io_start = ev_io_start; 516 evapi.io_start = ev_io_start;
407 evapi.io_stop = ev_io_stop; 517 evapi.io_stop = ev_io_stop;
408 evapi.timer_start = ev_timer_start; 518 evapi.timer_start = ev_timer_start;
409 evapi.timer_stop = ev_timer_stop; 519 evapi.timer_stop = ev_timer_stop;
410 evapi.timer_again = ev_timer_again; 520 evapi.timer_again = ev_timer_again;
521 evapi.timer_remaining = ev_timer_remaining;
411 evapi.periodic_start = ev_periodic_start; 522 evapi.periodic_start = ev_periodic_start;
412 evapi.periodic_stop = ev_periodic_stop; 523 evapi.periodic_stop = ev_periodic_stop;
413 evapi.signal_start = ev_signal_start; 524 evapi.signal_start = ev_signal_start;
414 evapi.signal_stop = ev_signal_stop; 525 evapi.signal_stop = ev_signal_stop;
415 evapi.idle_start = ev_idle_start; 526 evapi.idle_start = ev_idle_start;
416 evapi.idle_stop = ev_idle_stop; 527 evapi.idle_stop = ev_idle_stop;
417 evapi.prepare_start = ev_prepare_start; 528 evapi.prepare_start = ev_prepare_start;
418 evapi.prepare_stop = ev_prepare_stop; 529 evapi.prepare_stop = ev_prepare_stop;
419 evapi.check_start = ev_check_start; 530 evapi.check_start = ev_check_start;
420 evapi.check_stop = ev_check_stop; 531 evapi.check_stop = ev_check_stop;
532#if EV_CHILD_ENABLE
421 evapi.child_start = ev_child_start; 533 evapi.child_start = ev_child_start;
422 evapi.child_stop = ev_child_stop; 534 evapi.child_stop = ev_child_stop;
535#endif
423 evapi.stat_start = ev_stat_start; 536 evapi.stat_start = ev_stat_start;
424 evapi.stat_stop = ev_stat_stop; 537 evapi.stat_stop = ev_stat_stop;
425 evapi.stat_stat = ev_stat_stat; 538 evapi.stat_stat = ev_stat_stat;
426 evapi.embed_start = ev_embed_start; 539 evapi.embed_start = ev_embed_start;
427 evapi.embed_stop = ev_embed_stop; 540 evapi.embed_stop = ev_embed_stop;
428 evapi.embed_sweep = ev_embed_sweep; 541 evapi.embed_sweep = ev_embed_sweep;
429 evapi.fork_start = ev_fork_start; 542 evapi.fork_start = ev_fork_start;
430 evapi.fork_stop = ev_fork_stop; 543 evapi.fork_stop = ev_fork_stop;
544 evapi.cleanup_start = ev_cleanup_start;
545 evapi.cleanup_stop = ev_cleanup_stop;
546 evapi.async_start = ev_async_start;
547 evapi.async_stop = ev_async_stop;
548 evapi.async_send = ev_async_send;
431 evapi.clear_pending = ev_clear_pending; 549 evapi.clear_pending = ev_clear_pending;
432 evapi.invoke = ev_invoke; 550 evapi.invoke = ev_invoke;
433 551
434 sv_setiv (sv, (IV)&evapi); 552 sv_setiv (sv, (IV)&evapi);
435 SvREADONLY_on (sv); 553 SvREADONLY_on (sv);
436 } 554 }
437#ifndef _WIN32 555#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
556/* unfortunately, musl neither implements the linux standard base,
557/* nor makes itself detectable via macros. yeah, right... */
558#if __linux && (__GLIBC__ || __UCLIBC__)
559 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
560 __register_atfork (0, 0, default_fork, 0);
561#else
438 pthread_atfork (0, 0, ev_default_fork); 562 pthread_atfork (0, 0, default_fork);
563#endif
439#endif 564#endif
440} 565}
441 566
442SV *ev_default_loop (unsigned int flags = 0) 567SV *ev_default_loop (unsigned int flags = 0)
443 CODE: 568 CODE:
457 OUTPUT: 582 OUTPUT:
458 RETVAL 583 RETVAL
459 584
460void ev_default_destroy () 585void ev_default_destroy ()
461 CODE: 586 CODE:
462 ev_default_destroy (); 587 ev_loop_destroy (EV_DEFAULT_UC);
463 SvREFCNT_dec (default_loop_sv); 588 SvREFCNT_dec (default_loop_sv);
464 default_loop_sv = 0; 589 default_loop_sv = 0;
465 590
466unsigned int ev_supported_backends () 591unsigned int ev_supported_backends ()
467 592
468unsigned int ev_recommended_backends () 593unsigned int ev_recommended_backends ()
469 594
470unsigned int ev_embeddable_backends () 595unsigned int ev_embeddable_backends ()
471 596
597void ev_sleep (NV interval)
598
472NV ev_time () 599NV ev_time ()
600
601void ev_feed_signal (SV *signal)
602 CODE:
603{
604 Signal signum = s_signum (signal);
605 CHECK_SIG (signal, signum);
606
607 ev_feed_signal (signum);
608}
473 609
474NV ev_now () 610NV ev_now ()
475 C_ARGS: evapi.default_loop 611 C_ARGS: evapi.default_loop
476 612
613void ev_now_update ()
614 C_ARGS: evapi.default_loop
615
616void ev_suspend ()
617 C_ARGS: evapi.default_loop
618
619void ev_resume ()
620 C_ARGS: evapi.default_loop
621
477unsigned int ev_backend () 622unsigned int ev_backend ()
478 C_ARGS: evapi.default_loop 623 C_ARGS: evapi.default_loop
479 624
625void ev_verify ()
626 ALIAS:
627 loop_verify = 1
628 C_ARGS: evapi.default_loop
629
630unsigned int ev_iteration ()
631 ALIAS:
632 loop_count = 1
633 C_ARGS: evapi.default_loop
634
480unsigned int ev_loop_count () 635unsigned int ev_depth ()
636 ALIAS:
637 loop_depth = 1
481 C_ARGS: evapi.default_loop 638 C_ARGS: evapi.default_loop
482 639
483void ev_set_io_collect_interval (NV interval) 640void ev_set_io_collect_interval (NV interval)
484 C_ARGS: evapi.default_loop, interval 641 C_ARGS: evapi.default_loop, interval
485 642
486void ev_set_timeout_collect_interval (NV interval) 643void ev_set_timeout_collect_interval (NV interval)
487 C_ARGS: evapi.default_loop, interval 644 C_ARGS: evapi.default_loop, interval
488 645
489void ev_loop (int flags = 0) 646int ev_run (int flags = 0)
647 ALIAS:
648 loop = 1
490 C_ARGS: evapi.default_loop, flags 649 C_ARGS: evapi.default_loop, flags
491 650
492void ev_unloop (int how = 1) 651void ev_break (int how = EVBREAK_ONE)
652 ALIAS:
653 unloop = 1
493 C_ARGS: evapi.default_loop, how 654 C_ARGS: evapi.default_loop, how
494 655
495void ev_feed_fd_event (int fd, int revents = EV_NONE) 656void ev_feed_fd_event (int fd, int revents = EV_NONE)
496 C_ARGS: evapi.default_loop, fd, revents 657 C_ARGS: evapi.default_loop, fd, revents
497 658
498void ev_feed_signal_event (SV *signal) 659void ev_feed_signal_event (SV *signal)
499 CODE: 660 CODE:
500{ 661{
501 Signal signum = sv_signum (signal); 662 Signal signum = s_signum (signal);
502 CHECK_SIG (signal, signum); 663 CHECK_SIG (signal, signum);
503 664
504 ev_feed_signal_event (evapi.default_loop, signum); 665 ev_feed_signal_event (evapi.default_loop, signum);
505} 666}
506 667
668unsigned int ev_pending_count ()
669 C_ARGS: evapi.default_loop
670
671void ev_invoke_pending ()
672 C_ARGS: evapi.default_loop
673
507ev_io *io (SV *fh, int events, SV *cb) 674ev_io *io (SV *fh, int events, SV *cb)
508 ALIAS: 675 ALIAS:
509 io_ns = 1 676 io_ns = 1
677 _ae_io = 2
510 CODE: 678 CODE:
511{ 679{
512 int fd = sv_fileno (fh); 680 int fd = s_fileno (fh, events & EV_WRITE);
513 CHECK_FD (fh, fd); 681 CHECK_FD (fh, fd);
514 682
683 if (ix == 2)
684 {
685 ix = 0;
686 events = events ? EV_WRITE : EV_READ;
687 }
688
515 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv); 689 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
516 RETVAL->fh = newSVsv (fh); 690 e_fh (RETVAL) = newSVsv (fh);
517 ev_io_set (RETVAL, fd, events); 691 ev_io_set (RETVAL, fd, events);
518 if (!ix) START (io, RETVAL); 692 if (!ix) START (io, RETVAL);
519} 693}
520 OUTPUT: 694 OUTPUT:
521 RETVAL 695 RETVAL
537 periodic_ns = 1 711 periodic_ns = 1
538 INIT: 712 INIT:
539 CHECK_REPEAT (interval); 713 CHECK_REPEAT (interval);
540 CODE: 714 CODE:
541{ 715{
542 ev_periodic *w; 716 ev_periodic *w;
543 w = e_new (sizeof (ev_periodic), cb, default_loop_sv); 717 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
544 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 718 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
545 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 719 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
546 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 720 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
547 if (!ix) START (periodic, w); 721 if (!ix) START (periodic, w);
548} 722}
549 OUTPUT: 723 OUTPUT:
550 RETVAL 724 RETVAL
552ev_signal *signal (SV *signal, SV *cb) 726ev_signal *signal (SV *signal, SV *cb)
553 ALIAS: 727 ALIAS:
554 signal_ns = 1 728 signal_ns = 1
555 CODE: 729 CODE:
556{ 730{
557 Signal signum = sv_signum (signal); 731 Signal signum = s_signum (signal);
558 CHECK_SIG (signal, signum); 732 CHECK_SIG (signal, signum);
559 733
560 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv); 734 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
561 ev_signal_set (RETVAL, signum); 735 ev_signal_set (RETVAL, signum);
562 if (!ix) START (signal, RETVAL); 736 if (!ix) START_SIGNAL (RETVAL);
563} 737}
564 OUTPUT: 738 OUTPUT:
565 RETVAL 739 RETVAL
566 740
567ev_idle *idle (SV *cb) 741ev_idle *idle (SV *cb)
602 ev_fork_set (RETVAL); 776 ev_fork_set (RETVAL);
603 if (!ix) START (fork, RETVAL); 777 if (!ix) START (fork, RETVAL);
604 OUTPUT: 778 OUTPUT:
605 RETVAL 779 RETVAL
606 780
781#if CLEANUP_ENABLED
782
783ev_cleanup *cleanup (SV *cb)
784 ALIAS:
785 cleanup_ns = 1
786 CODE:
787 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
788 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
789 ev_cleanup_set (RETVAL);
790 if (!ix) START (cleanup, RETVAL);
791 OUTPUT:
792 RETVAL
793
794#endif
795
607ev_child *child (int pid, SV *cb) 796ev_child *child (int pid, int trace, SV *cb)
608 ALIAS: 797 ALIAS:
609 child_ns = 1 798 child_ns = 1
610 CODE: 799 CODE:
800#if EV_CHILD_ENABLE
611 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv); 801 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
612 ev_child_set (RETVAL, pid); 802 ev_child_set (RETVAL, pid, trace);
613 if (!ix) START (child, RETVAL); 803 if (!ix) START (child, RETVAL);
804#else
805 croak ("EV::child watchers not supported on this platform");
806#endif
614 OUTPUT: 807 OUTPUT:
615 RETVAL 808 RETVAL
809
616 810
617ev_stat *stat (SV *path, NV interval, SV *cb) 811ev_stat *stat (SV *path, NV interval, SV *cb)
618 ALIAS: 812 ALIAS:
619 stat_ns = 1 813 stat_ns = 1
620 CODE: 814 CODE:
621 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv); 815 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
622 RETVAL->fh = newSVsv (path); 816 e_fh (RETVAL) = newSVsv (path);
623 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 817 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
624 if (!ix) START (stat, RETVAL); 818 if (!ix) START (stat, RETVAL);
625 OUTPUT: 819 OUTPUT:
626 RETVAL 820 RETVAL
627 821
822#ifndef EV_NO_LOOPS
823
628ev_embed *embed (struct ev_loop *loop, SV *cb = &PL_sv_undef) 824ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
629 ALIAS: 825 ALIAS:
630 embed_ns = 1 826 embed_ns = 1
631 CODE: 827 CODE:
632{ 828{
633 if (!(ev_backend (loop) & ev_embeddable_backends ())) 829 if (!(ev_backend (loop) & ev_embeddable_backends ()))
634 croak ("passed loop is not embeddable via EV::embed,"); 830 croak ("passed loop is not embeddable via EV::embed,");
635 831
636 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv); 832 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
637 RETVAL->fh = newSVsv (ST (0)); 833 e_fh (RETVAL) = newSVsv (ST (0));
638 ev_embed_set (RETVAL, loop); 834 ev_embed_set (RETVAL, loop);
639
640 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
641
642 if (!ix) START (embed, RETVAL); 835 if (!ix) START (embed, RETVAL);
643} 836}
837 OUTPUT:
838 RETVAL
839
840#endif
841
842ev_async *async (SV *cb)
843 ALIAS:
844 async_ns = 1
845 CODE:
846 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
847 ev_async_set (RETVAL);
848 if (!ix) START (async, RETVAL);
644 OUTPUT: 849 OUTPUT:
645 RETVAL 850 RETVAL
646 851
647void once (SV *fh, int events, SV *timeout, SV *cb) 852void once (SV *fh, int events, SV *timeout, SV *cb)
648 CODE: 853 CODE:
649 ev_once ( 854 ev_once (
650 evapi.default_loop, 855 evapi.default_loop,
651 sv_fileno (fh), events, 856 s_fileno (fh, events & EV_WRITE), events,
652 SvOK (timeout) ? SvNV (timeout) : -1., 857 SvOK (timeout) ? SvNV (timeout) : -1.,
653 e_once_cb, 858 e_once_cb,
654 newSVsv (cb) 859 newSVsv (cb)
655 ); 860 );
656 861
669 C_ARGS: e_loop (w), w 874 C_ARGS: e_loop (w), w
670 875
671void ev_feed_event (ev_watcher *w, int revents = EV_NONE) 876void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
672 C_ARGS: e_loop (w), w, revents 877 C_ARGS: e_loop (w), w, revents
673 878
674int keepalive (ev_watcher *w, int new_value = 0) 879int keepalive (ev_watcher *w, SV *new_value = NO_INIT)
675 CODE: 880 CODE:
676{ 881{
677 RETVAL = w->flags & WFLAG_KEEPALIVE; 882 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
678 new_value = new_value ? WFLAG_KEEPALIVE : 0;
679 883
680 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 884 if (items > 1)
681 { 885 {
682 REF (w); 886 int value = SvTRUE (new_value) ? WFLAG_KEEPALIVE : 0;
887
888 if ((value ^ w->e_flags) & WFLAG_KEEPALIVE)
889 {
683 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value; 890 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | value;
891 REF (w);
684 UNREF (w); 892 UNREF (w);
893 }
685 } 894 }
686} 895}
687 OUTPUT: 896 OUTPUT:
688 RETVAL 897 RETVAL
689 898
690SV *cb (ev_watcher *w, SV *new_cb = 0) 899SV *cb (ev_watcher *w, SV *new_cb = NO_INIT)
691 CODE: 900 CODE:
692{ 901{
693 RETVAL = newSVsv (w->cb_sv);
694
695 if (items > 1) 902 if (items > 1)
696 sv_setsv (w->cb_sv, new_cb); 903 {
904 new_cb = s_get_cv_croak (new_cb);
905 RETVAL = newRV_noinc (w->cb_sv);
906 w->cb_sv = SvREFCNT_inc (new_cb);
907 }
908 else
909 RETVAL = newRV_inc (w->cb_sv);
697} 910}
698 OUTPUT: 911 OUTPUT:
699 RETVAL 912 RETVAL
700 913
701SV *data (ev_watcher *w, SV *new_data = 0) 914SV *data (ev_watcher *w, SV *new_data = NO_INIT)
702 CODE: 915 CODE:
703{ 916{
704 RETVAL = w->data ? newSVsv (w->data) : &PL_sv_undef; 917 RETVAL = w->data ? newSVsv (w->data) : &PL_sv_undef;
705 918
706 if (items > 1) 919 if (items > 1)
716 CODE: 929 CODE:
717 RETVAL = newRV_inc (w->loop); 930 RETVAL = newRV_inc (w->loop);
718 OUTPUT: 931 OUTPUT:
719 RETVAL 932 RETVAL
720 933
721int priority (ev_watcher *w, int new_priority = 0) 934int priority (ev_watcher *w, SV *new_priority = NO_INIT)
722 CODE: 935 CODE:
723{ 936{
724 RETVAL = w->priority; 937 RETVAL = w->priority;
725 938
726 if (items > 1) 939 if (items > 1)
734 XPUSHs (ST (0)); 947 XPUSHs (ST (0));
735 PUTBACK; 948 PUTBACK;
736 call_method ("stop", G_DISCARD | G_VOID); 949 call_method ("stop", G_DISCARD | G_VOID);
737 } 950 }
738 951
739 ev_set_priority (w, new_priority); 952 ev_set_priority (w, SvIV (new_priority));
740 953
741 if (active) 954 if (active)
742 { 955 {
743 PUSHMARK (SP); 956 PUSHMARK (SP);
744 XPUSHs (ST (0)); 957 XPUSHs (ST (0));
766 e_destroy (w); 979 e_destroy (w);
767 980
768void set (ev_io *w, SV *fh, int events) 981void set (ev_io *w, SV *fh, int events)
769 CODE: 982 CODE:
770{ 983{
771 int fd = sv_fileno (fh); 984 int fd = s_fileno (fh, events & EV_WRITE);
772 CHECK_FD (fh, fd); 985 CHECK_FD (fh, fd);
773 986
774 sv_setsv (w->fh, fh); 987 sv_setsv (e_fh (w), fh);
775 RESET (io, w, (w, fd, events)); 988 RESET (io, w, (w, fd, events));
776} 989}
777 990
778SV *fh (ev_io *w, SV *new_fh = 0) 991SV *fh (ev_io *w, SV *new_fh = NO_INIT)
779 CODE: 992 CODE:
780{ 993{
781 if (items > 1) 994 if (items > 1)
782 { 995 {
783 int fd = sv_fileno (new_fh); 996 int fd = s_fileno (new_fh, w->events & EV_WRITE);
784 CHECK_FD (new_fh, fd); 997 CHECK_FD (new_fh, fd);
785 998
786 RETVAL = w->fh; 999 RETVAL = e_fh (w);
787 w->fh = newSVsv (new_fh); 1000 e_fh (w) = newSVsv (new_fh);
788 1001
789 RESET (io, w, (w, fd, w->events)); 1002 RESET (io, w, (w, fd, w->events));
790 } 1003 }
791 else 1004 else
792 RETVAL = newSVsv (w->fh); 1005 RETVAL = newSVsv (e_fh (w));
793} 1006}
794 OUTPUT: 1007 OUTPUT:
795 RETVAL 1008 RETVAL
796 1009
797int events (ev_io *w, int new_events = EV_UNDEF) 1010int events (ev_io *w, int new_events = NO_INIT)
798 CODE: 1011 CODE:
799{ 1012{
800 RETVAL = w->events; 1013 RETVAL = w->events;
801 1014
802 if (items > 1) 1015 if (items > 1 && (new_events ^ w->events) & (EV_READ | EV_WRITE))
803 RESET (io, w, (w, w->fd, new_events)); 1016 {
1017 PAUSE (io);
1018 ev_io_modify (w, new_events);
1019 RESUME (io);
1020 }
804} 1021}
805 OUTPUT: 1022 OUTPUT:
806 RETVAL 1023 RETVAL
807 1024
808MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1025MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
809 1026
810void ev_signal_start (ev_signal *w) 1027void ev_signal_start (ev_signal *w)
811 CODE: 1028 CODE:
812 START (signal, w); 1029 START_SIGNAL (w);
813 1030
814void ev_signal_stop (ev_signal *w) 1031void ev_signal_stop (ev_signal *w)
815 CODE: 1032 CODE:
816 STOP (signal, w); 1033 STOP (signal, w);
817 1034
821 e_destroy (w); 1038 e_destroy (w);
822 1039
823void set (ev_signal *w, SV *signal) 1040void set (ev_signal *w, SV *signal)
824 CODE: 1041 CODE:
825{ 1042{
826 Signal signum = sv_signum (signal); 1043 Signal signum = s_signum (signal);
827 CHECK_SIG (signal, signum); 1044 CHECK_SIG (signal, signum);
828 1045
829 RESET (signal, w, (w, signum)); 1046 RESET_SIGNAL (w, (w, signum));
830} 1047}
831 1048
832int signal (ev_signal *w, SV *new_signal = 0) 1049int signal (ev_signal *w, SV *new_signal = NO_INIT)
833 CODE: 1050 CODE:
834{ 1051{
835 RETVAL = w->signum; 1052 RETVAL = w->signum;
836 1053
837 if (items > 1) 1054 if (items > 1)
838 { 1055 {
839 Signal signum = sv_signum (new_signal); 1056 Signal signum = s_signum (new_signal);
840 CHECK_SIG (new_signal, signum); 1057 CHECK_SIG (new_signal, signum);
841 1058 RESET_SIGNAL (w, (w, signum));
842 RESET (signal, w, (w, signum));
843 } 1059 }
844} 1060}
845 OUTPUT: 1061 OUTPUT:
846 RETVAL 1062 RETVAL
847 1063
855 1071
856void ev_timer_stop (ev_timer *w) 1072void ev_timer_stop (ev_timer *w)
857 CODE: 1073 CODE:
858 STOP (timer, w); 1074 STOP (timer, w);
859 1075
860void ev_timer_again (ev_timer *w) 1076void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
861 INIT:
862 CHECK_REPEAT (w->repeat);
863 CODE: 1077 CODE:
864 REF (w); 1078{
1079 if (items > 1)
1080 {
1081 CHECK_REPEAT (repeat);
1082 w->repeat = repeat;
1083 }
1084
865 ev_timer_again (e_loop (w), w); 1085 ev_timer_again (e_loop (w), w);
866 UNREF (w); 1086 UNREF (w);
1087}
1088
1089NV ev_timer_remaining (ev_timer *w)
1090 C_ARGS: e_loop (w), w
867 1091
868void DESTROY (ev_timer *w) 1092void DESTROY (ev_timer *w)
869 CODE: 1093 CODE:
870 STOP (timer, w); 1094 STOP (timer, w);
871 e_destroy (w); 1095 e_destroy (w);
874 INIT: 1098 INIT:
875 CHECK_REPEAT (repeat); 1099 CHECK_REPEAT (repeat);
876 CODE: 1100 CODE:
877 RESET (timer, w, (w, after, repeat)); 1101 RESET (timer, w, (w, after, repeat));
878 1102
879NV at (ev_timer *w) 1103NV repeat (ev_timer *w, SV *new_repeat = NO_INIT)
880 CODE: 1104 CODE:
881 RETVAL = w->at; 1105 RETVAL = w->repeat;
1106 if (items > 1)
1107 {
1108 NV repeat = SvNV (new_repeat);
1109 CHECK_REPEAT (repeat);
1110 w->repeat = repeat;
1111 }
882 OUTPUT: 1112 OUTPUT:
883 RETVAL 1113 RETVAL
884 1114
885MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_ 1115MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_
886 1116
894 CODE: 1124 CODE:
895 STOP (periodic, w); 1125 STOP (periodic, w);
896 1126
897void ev_periodic_again (ev_periodic *w) 1127void ev_periodic_again (ev_periodic *w)
898 CODE: 1128 CODE:
899 REF (w);
900 ev_periodic_again (e_loop (w), w); 1129 ev_periodic_again (e_loop (w), w);
901 UNREF (w); 1130 UNREF (w);
902 1131
903void DESTROY (ev_periodic *w) 1132void DESTROY (ev_periodic *w)
904 CODE: 1133 CODE:
908void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1137void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
909 INIT: 1138 INIT:
910 CHECK_REPEAT (interval); 1139 CHECK_REPEAT (interval);
911 CODE: 1140 CODE:
912{ 1141{
913 SvREFCNT_dec (w->fh); 1142 SvREFCNT_dec (e_fh (w));
914 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1143 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
915 1144
916 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1145 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
917} 1146}
918 1147
919NV at (ev_periodic *w) 1148NV at (ev_periodic *w)
920 CODE: 1149 CODE:
1150 RETVAL = ev_periodic_at (w);
1151 OUTPUT:
1152 RETVAL
1153
1154NV offset (ev_periodic *w, SV *new_offset = NO_INIT)
1155 CODE:
921 RETVAL = w->at; 1156 RETVAL = w->offset;
1157 if (items > 1)
1158 w->offset = SvNV (new_offset);
1159 OUTPUT:
1160 RETVAL
1161
1162NV interval (ev_periodic *w, SV *new_interval = NO_INIT)
1163 CODE:
1164 RETVAL = w->interval;
1165 if (items > 1)
1166 {
1167 NV interval = SvNV (new_interval);
1168 CHECK_REPEAT (interval);
1169 w->interval = interval;
1170 }
1171 OUTPUT:
1172 RETVAL
1173
1174SV *reschedule_cb (ev_periodic *w, SV *new_reschedule_cb = NO_INIT)
1175 CODE:
1176 RETVAL = e_fh (w) ? e_fh (w) : &PL_sv_undef;
1177 if (items > 1)
1178 {
1179 sv_2mortal (RETVAL);
1180 e_fh (w) = SvTRUE (new_reschedule_cb) ? newSVsv (new_reschedule_cb) : 0;
1181 }
922 OUTPUT: 1182 OUTPUT:
923 RETVAL 1183 RETVAL
924 1184
925MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1185MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
926 1186
980void DESTROY (ev_fork *w) 1240void DESTROY (ev_fork *w)
981 CODE: 1241 CODE:
982 STOP (fork, w); 1242 STOP (fork, w);
983 e_destroy (w); 1243 e_destroy (w);
984 1244
1245#if CLEANUP_ENABLED
1246
1247MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1248
1249void ev_cleanup_start (ev_cleanup *w)
1250 CODE:
1251 START (cleanup, w);
1252
1253void ev_cleanup_stop (ev_cleanup *w)
1254 CODE:
1255 STOP (cleanup, w);
1256
1257void DESTROY (ev_cleanup *w)
1258 CODE:
1259 STOP (cleanup, w);
1260 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1261 e_destroy (w);
1262
1263int keepalive (ev_watcher *w, SV *new_value = 0)
1264 CODE:
1265 RETVAL = 1;
1266 OUTPUT:
1267 RETVAL
1268
1269#endif
1270
985MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1271MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1272
1273#if EV_CHILD_ENABLE
986 1274
987void ev_child_start (ev_child *w) 1275void ev_child_start (ev_child *w)
988 CODE: 1276 CODE:
989 START (child, w); 1277 START (child, w);
990 1278
995void DESTROY (ev_child *w) 1283void DESTROY (ev_child *w)
996 CODE: 1284 CODE:
997 STOP (child, w); 1285 STOP (child, w);
998 e_destroy (w); 1286 e_destroy (w);
999 1287
1000void set (ev_child *w, int pid) 1288void set (ev_child *w, int pid, int trace)
1001 CODE: 1289 CODE:
1002 RESET (child, w, (w, pid)); 1290 RESET (child, w, (w, pid, trace));
1003 1291
1004int pid (ev_child *w, int new_pid = 0)
1005 CODE:
1006{
1007 RETVAL = w->pid;
1008
1009 if (items > 1)
1010 RESET (child, w, (w, new_pid));
1011}
1012 OUTPUT:
1013 RETVAL
1014
1015
1016int rstatus (ev_child *w) 1292int pid (ev_child *w)
1017 ALIAS: 1293 ALIAS:
1018 rpid = 1 1294 rpid = 1
1295 rstatus = 2
1019 CODE: 1296 CODE:
1020 RETVAL = ix ? w->rpid : w->rstatus; 1297 RETVAL = ix == 0 ? w->pid
1298 : ix == 1 ? w->rpid
1299 : w->rstatus;
1021 OUTPUT: 1300 OUTPUT:
1022 RETVAL 1301 RETVAL
1302
1303#endif
1023 1304
1024MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1305MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
1025 1306
1026void ev_stat_start (ev_stat *w) 1307void ev_stat_start (ev_stat *w)
1027 CODE: 1308 CODE:
1037 e_destroy (w); 1318 e_destroy (w);
1038 1319
1039void set (ev_stat *w, SV *path, NV interval) 1320void set (ev_stat *w, SV *path, NV interval)
1040 CODE: 1321 CODE:
1041{ 1322{
1042 sv_setsv (w->fh, path); 1323 sv_setsv (e_fh (w), path);
1043 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1324 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
1044} 1325}
1045 1326
1046SV *path (ev_stat *w, SV *new_path = 0) 1327SV *path (ev_stat *w, SV *new_path = NO_INIT)
1047 CODE: 1328 CODE:
1048{ 1329{
1049 RETVAL = SvREFCNT_inc (w->fh); 1330 RETVAL = e_fh (w) ? e_fh (w) : &PL_sv_undef;
1050 1331
1051 if (items > 1) 1332 if (items > 1)
1052 { 1333 {
1053 SvREFCNT_dec (w->fh); 1334 sv_2mortal (RETVAL);
1054 w->fh = newSVsv (new_path); 1335 e_fh (w) = newSVsv (new_path);
1055 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1336 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
1056 } 1337 }
1057} 1338}
1058 OUTPUT: 1339 OUTPUT:
1059 RETVAL 1340 RETVAL
1060 1341
1061NV interval (ev_stat *w, NV new_interval = 0.) 1342NV interval (ev_stat *w, SV *new_interval = NO_INIT)
1062 CODE: 1343 CODE:
1063{
1064 RETVAL = w->interval; 1344 RETVAL = w->interval;
1065
1066 if (items > 1) 1345 if (items > 1)
1067 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1346 {
1068} 1347 PAUSE (stat);
1348 w->interval = SvNV (new_interval);
1349 RESUME (stat);
1350 }
1069 OUTPUT: 1351 OUTPUT:
1070 RETVAL 1352 RETVAL
1071 1353
1072void prev (ev_stat *w) 1354void prev (ev_stat *w)
1073 ALIAS: 1355 ALIAS:
1131 e_destroy (w); 1413 e_destroy (w);
1132 1414
1133void set (ev_embed *w, struct ev_loop *loop) 1415void set (ev_embed *w, struct ev_loop *loop)
1134 CODE: 1416 CODE:
1135{ 1417{
1136 sv_setsv (w->fh, ST (1)); 1418 sv_setsv (e_fh (w), ST (1));
1137 RESET (embed, w, (w, loop)); 1419 RESET (embed, w, (w, loop));
1138} 1420}
1139 1421
1140SV *other (ev_embed *w) 1422SV *other (ev_embed *w)
1141 CODE: 1423 CODE:
1142 RETVAL = newSVsv (w->fh); 1424 RETVAL = newSVsv (e_fh (w));
1143 OUTPUT: 1425 OUTPUT:
1144 RETVAL 1426 RETVAL
1427
1428void ev_embed_sweep (ev_embed *w)
1429 C_ARGS: e_loop (w), w
1430
1431MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1432
1433void ev_async_start (ev_async *w)
1434 CODE:
1435 START (async, w);
1436
1437void ev_async_stop (ev_async *w)
1438 CODE:
1439 STOP (async, w);
1440
1441void DESTROY (ev_async *w)
1442 CODE:
1443 STOP (async, w);
1444 e_destroy (w);
1445
1446void ev_async_send (ev_async *w)
1447 C_ARGS: e_loop (w), w
1448
1449SV *ev_async_async_pending (ev_async *w)
1450 CODE:
1451 RETVAL = boolSV (ev_async_pending (w));
1452 OUTPUT:
1453 RETVAL
1454
1455#ifndef EV_NO_LOOPS
1145 1456
1146MODULE = EV PACKAGE = EV::Loop PREFIX = ev_ 1457MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1147 1458
1148SV *new (SV *klass, unsigned int flags = 0) 1459SV *new (SV *klass, unsigned int flags = 0)
1149 CODE: 1460 CODE:
1158 OUTPUT: 1469 OUTPUT:
1159 RETVAL 1470 RETVAL
1160 1471
1161void DESTROY (struct ev_loop *loop) 1472void DESTROY (struct ev_loop *loop)
1162 CODE: 1473 CODE:
1163 if (loop != evapi.default_loop) /* global destruction sucks */ 1474 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1475 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1476 if (loop != evapi.default_loop)
1164 ev_loop_destroy (loop); 1477 ev_loop_destroy (loop);
1165 1478
1166void ev_loop_fork (struct ev_loop *loop) 1479void ev_loop_fork (struct ev_loop *loop)
1167 1480
1168NV ev_now (struct ev_loop *loop) 1481NV ev_now (struct ev_loop *loop)
1169 1482
1483void ev_now_update (struct ev_loop *loop)
1484
1485void ev_suspend (struct ev_loop *loop)
1486
1487void ev_resume (struct ev_loop *loop)
1488
1170void ev_set_io_collect_interval (struct ev_loop *loop, NV interval) 1489void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1171 1490
1172void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval) 1491void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1173 1492
1174unsigned int ev_backend (struct ev_loop *loop) 1493unsigned int ev_backend (struct ev_loop *loop)
1175 1494
1176unsigned int ev_loop_count (struct ev_loop *loop) 1495void ev_verify (struct ev_loop *loop)
1496 ALIAS:
1497 loop_verify = 1
1177 1498
1178void ev_loop (struct ev_loop *loop, int flags = 0) 1499unsigned int ev_iteration (struct ev_loop *loop)
1500 ALIAS:
1501 loop_count = 1
1179 1502
1503unsigned int ev_depth (struct ev_loop *loop)
1504 ALIAS:
1505 loop_depth = 1
1506
1507int ev_run (struct ev_loop *loop, int flags = 0)
1508 ALIAS:
1509 loop = 1
1510
1180void ev_unloop (struct ev_loop *loop, int how = 1) 1511void ev_break (struct ev_loop *loop, int how = 1)
1512 ALIAS:
1513 unloop = 1
1181 1514
1182void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE) 1515void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1183 1516
1517unsigned int ev_pending_count (struct ev_loop *loop)
1518
1519void ev_invoke_pending (struct ev_loop *loop)
1520
1184#if 0 1521#if 0
1185 1522
1186void ev_feed_signal_event (struct ev_loop *loop, SV *signal) 1523void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1187 CODE: 1524 CODE:
1188{ 1525{
1189 Signal signum = sv_signum (signal); 1526 Signal signum = s_signum (signal);
1190 CHECK_SIG (signal, signum); 1527 CHECK_SIG (signal, signum);
1191 1528
1192 ev_feed_signal_event (loop, signum); 1529 ev_feed_signal_event (loop, signum);
1193} 1530}
1194 1531
1197ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb) 1534ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1198 ALIAS: 1535 ALIAS:
1199 io_ns = 1 1536 io_ns = 1
1200 CODE: 1537 CODE:
1201{ 1538{
1202 int fd = sv_fileno (fh); 1539 int fd = s_fileno (fh, events & EV_WRITE);
1203 CHECK_FD (fh, fd); 1540 CHECK_FD (fh, fd);
1204 1541
1205 RETVAL = e_new (sizeof (ev_io), cb, ST (0)); 1542 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1206 RETVAL->fh = newSVsv (fh); 1543 e_fh (RETVAL) = newSVsv (fh);
1207 ev_io_set (RETVAL, fd, events); 1544 ev_io_set (RETVAL, fd, events);
1208 if (!ix) START (io, RETVAL); 1545 if (!ix) START (io, RETVAL);
1209} 1546}
1210 OUTPUT: 1547 OUTPUT:
1211 RETVAL 1548 RETVAL
1227 periodic_ns = 1 1564 periodic_ns = 1
1228 INIT: 1565 INIT:
1229 CHECK_REPEAT (interval); 1566 CHECK_REPEAT (interval);
1230 CODE: 1567 CODE:
1231{ 1568{
1232 ev_periodic *w; 1569 ev_periodic *w;
1233 w = e_new (sizeof (ev_periodic), cb, ST (0)); 1570 w = e_new (sizeof (ev_periodic), cb, ST (0));
1234 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1571 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1235 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 1572 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1236 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 1573 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1237 if (!ix) START (periodic, w); 1574 if (!ix) START (periodic, w);
1238} 1575}
1239 OUTPUT: 1576 OUTPUT:
1240 RETVAL 1577 RETVAL
1241 1578
1242#if 0
1243
1244ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb) 1579ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1245 ALIAS: 1580 ALIAS:
1246 signal_ns = 1 1581 signal_ns = 1
1247 CODE: 1582 CODE:
1248{ 1583{
1249 Signal signum = sv_signum (signal); 1584 Signal signum = s_signum (signal);
1250 CHECK_SIG (signal, signum); 1585 CHECK_SIG (signal, signum);
1251 1586
1252 RETVAL = e_new (sizeof (ev_signal), cb, ST (0)); 1587 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1253 ev_signal_set (RETVAL, signum); 1588 ev_signal_set (RETVAL, signum);
1254 if (!ix) START (signal, RETVAL); 1589 if (!ix) START_SIGNAL (RETVAL);
1255} 1590}
1256 OUTPUT: 1591 OUTPUT:
1257 RETVAL 1592 RETVAL
1258
1259#endif
1260 1593
1261ev_idle *idle (struct ev_loop *loop, SV *cb) 1594ev_idle *idle (struct ev_loop *loop, SV *cb)
1262 ALIAS: 1595 ALIAS:
1263 idle_ns = 1 1596 idle_ns = 1
1264 CODE: 1597 CODE:
1296 ev_fork_set (RETVAL); 1629 ev_fork_set (RETVAL);
1297 if (!ix) START (fork, RETVAL); 1630 if (!ix) START (fork, RETVAL);
1298 OUTPUT: 1631 OUTPUT:
1299 RETVAL 1632 RETVAL
1300 1633
1634#if CLEANUP_ENABLED
1635
1636ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1637 ALIAS:
1638 cleanup_ns = 1
1639 CODE:
1640 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1641 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1642 ev_cleanup_set (RETVAL);
1643 if (!ix) START (cleanup, RETVAL);
1644 OUTPUT:
1645 RETVAL
1646
1647#endif
1648
1301ev_child *child (struct ev_loop *loop, int pid, SV *cb) 1649ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1302 ALIAS: 1650 ALIAS:
1303 child_ns = 1 1651 child_ns = 1
1304 CODE: 1652 CODE:
1653#if EV_CHILD_ENABLE
1305 RETVAL = e_new (sizeof (ev_child), cb, ST (0)); 1654 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1306 ev_child_set (RETVAL, pid); 1655 ev_child_set (RETVAL, pid, trace);
1307 if (!ix) START (child, RETVAL); 1656 if (!ix) START (child, RETVAL);
1657#else
1658 croak ("EV::child watchers not supported on this platform");
1659#endif
1308 OUTPUT: 1660 OUTPUT:
1309 RETVAL 1661 RETVAL
1310 1662
1311ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb) 1663ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1312 ALIAS: 1664 ALIAS:
1313 stat_ns = 1 1665 stat_ns = 1
1314 CODE: 1666 CODE:
1315 RETVAL = e_new (sizeof (ev_stat), cb, ST (0)); 1667 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1316 RETVAL->fh = newSVsv (path); 1668 e_fh (RETVAL) = newSVsv (path);
1317 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 1669 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1318 if (!ix) START (stat, RETVAL); 1670 if (!ix) START (stat, RETVAL);
1319 OUTPUT: 1671 OUTPUT:
1320 RETVAL 1672 RETVAL
1321 1673
1322ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = &PL_sv_undef) 1674ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1323 ALIAS: 1675 ALIAS:
1324 embed_ns = 1 1676 embed_ns = 1
1325 CODE: 1677 CODE:
1326{ 1678{
1327 if (!(ev_backend (other) & ev_embeddable_backends ())) 1679 if (!(ev_backend (other) & ev_embeddable_backends ()))
1328 croak ("passed loop is not embeddable via EV::embed,"); 1680 croak ("passed loop is not embeddable via EV::embed,");
1329 1681
1330 RETVAL = e_new (sizeof (ev_embed), cb, ST (0)); 1682 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1331 RETVAL->fh = newSVsv (ST (1)); 1683 e_fh (RETVAL) = newSVsv (ST (1));
1332 ev_embed_set (RETVAL, other); 1684 ev_embed_set (RETVAL, other);
1333
1334 if (!SvOK (cb)) ev_set_cb (RETVAL, 0);
1335
1336 if (!ix) START (embed, RETVAL); 1685 if (!ix) START (embed, RETVAL);
1337} 1686}
1687 OUTPUT:
1688 RETVAL
1689
1690ev_async *async (struct ev_loop *loop, SV *cb)
1691 ALIAS:
1692 async_ns = 1
1693 CODE:
1694 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1695 ev_async_set (RETVAL);
1696 if (!ix) START (async, RETVAL);
1338 OUTPUT: 1697 OUTPUT:
1339 RETVAL 1698 RETVAL
1340 1699
1341void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb) 1700void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1342 CODE: 1701 CODE:
1343 ev_once ( 1702 ev_once (
1344 loop, 1703 loop,
1345 sv_fileno (fh), events, 1704 s_fileno (fh, events & EV_WRITE), events,
1346 SvOK (timeout) ? SvNV (timeout) : -1., 1705 SvOK (timeout) ? SvNV (timeout) : -1.,
1347 e_once_cb, 1706 e_once_cb,
1348 newSVsv (cb) 1707 newSVsv (cb)
1349 ); 1708 );
1350 1709
1710#endif
1711

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines