ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.84 by root, Sat Dec 1 15:32:53 2007 UTC vs.
Revision 1.162 by root, Tue Jan 15 21:49:38 2013 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/
6
7#define EV_PROTOTYPES 1
8#include "EV/EVAPI.h"
9
10/* fix perl api breakage */ 5/* fix perl api breakage */
11#undef signal 6#undef signal
12#undef sigaction 7#undef sigaction
8
9#include "schmorp.h"
10
11/* old API compatibility */
12static int
13sv_fileno (SV *fh)
14{
15 return s_fileno (fh, 0);
16}
17
18#define EV_STANDALONE 1
19#define EV_PROTOTYPES 1
20#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
21#define EV_USE_FLOOR 1
22#define EV_API_STATIC
23#define EV_H <ev.h>
24#define EV_CONFIG_H error
25#include "EV/EVAPI.h"
13 26
14#define EV_SELECT_IS_WINSOCKET 0 27#define EV_SELECT_IS_WINSOCKET 0
15#ifdef _WIN32 28#ifdef _WIN32
16# define EV_SELECT_USE_FD_SET 0 29# define EV_SELECT_USE_FD_SET 0
17# define NFDBITS PERL_NFDBITS 30# define NFDBITS PERL_NFDBITS
18# define fd_mask Perl_fd_mask 31# define fd_mask Perl_fd_mask
19#endif 32#endif
20/* due to bugs in OS X we have to use libev/ explicitly here */ 33/* due to bugs in OS X we have to use libev/ explicitly here */
21#include "libev/ev.c" 34#include "libev/ev.c"
22#include "event.c"
23 35
24#ifndef _WIN32
25#define DNS_USE_GETTIMEOFDAY_FOR_ID 1
26#if !defined (WIN32) && !defined(__CYGWIN__) 36#if !defined _WIN32 && !defined _MINIX
27# define HAVE_STRUCT_IN6_ADDR 1
28#endif
29#undef HAVE_STRTOK_R
30#undef strtok_r
31#define strtok_r fake_strtok_r
32#include "evdns.h"
33#include "evdns.c"
34#endif
35
36#ifndef _WIN32
37# include <pthread.h> 37# include <pthread.h>
38#endif 38#endif
39 39
40#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
41#define e_flags(w) ((ev_watcher *)(w))->e_flags
42#define e_self(w) ((ev_watcher *)(w))->self
43#define e_fh(w) ((ev_watcher *)(w))->fh
44#define e_data(w) ((ev_watcher *)(w))->data
45
40#define WFLAG_KEEPALIVE 1 46#define WFLAG_KEEPALIVE 1
47#define WFLAG_UNREFED 2 /* has been unref'ed */
41 48
42#define UNREF(w) \ 49#define UNREF(w) \
43 if (!((w)->flags & WFLAG_KEEPALIVE) \ 50 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
44 && !ev_is_active (w)) \ 51 && ev_is_active (w)) \
45 ev_unref (); 52 { \
53 ev_unref (e_loop (w)); \
54 e_flags (w) |= WFLAG_UNREFED; \
55 }
46 56
47#define REF(w) \ 57#define REF(w) \
48 if (!((w)->flags & WFLAG_KEEPALIVE) \ 58 if (e_flags (w) & WFLAG_UNREFED) \
49 && ev_is_active (w)) \ 59 { \
50 ev_ref (); 60 e_flags (w) &= ~WFLAG_UNREFED; \
61 ev_ref (e_loop (w)); \
62 }
51 63
52#define START(type,w) \ 64#define START(type,w) \
53 do { \ 65 do { \
66 ev_ ## type ## _start (e_loop (w), w); \
54 UNREF (w); \ 67 UNREF (w); \
55 ev_ ## type ## _start (w); \
56 } while (0) 68 } while (0)
57 69
58#define STOP(type,w) \ 70#define STOP(type,w) \
59 do { \ 71 do { \
60 REF (w); \ 72 REF (w); \
61 ev_ ## type ## _stop (w); \ 73 ev_ ## type ## _stop (e_loop (w), w); \
62 } while (0) 74 } while (0)
63 75
64#define RESET(type,w,seta) \ 76#define RESET(type,w,seta) \
65 do { \ 77 do { \
66 int active = ev_is_active (w); \ 78 int active = ev_is_active (w); \
67 if (active) STOP (type, w); \ 79 if (active) STOP (type, w); \
68 ev_ ## type ## _set seta; \ 80 ev_ ## type ## _set seta; \
69 if (active) START (type, w); \ 81 if (active) START (type, w); \
70 } while (0) 82 } while (0)
71 83
72typedef int Signal; 84typedef int Signal;
73 85
86/* horrible... */
87#define CHECK_SIGNAL_CAN_START(w) \
88 do { \
89 /* dive into the internals of libev to avoid aborting in libev */ \
90 if (signals [(w)->signum - 1].loop \
91 && signals [(w)->signum - 1].loop != e_loop (w)) \
92 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
93 } while (0)
94
95#define START_SIGNAL(w) \
96 do { \
97 CHECK_SIGNAL_CAN_START (w); \
98 START (signal, w); \
99 } while (0) \
100
101#define RESET_SIGNAL(w,seta) \
102 do { \
103 int active = ev_is_active (w); \
104 if (active) STOP (signal, w); \
105 ev_ ## signal ## _set seta; \
106 if (active) START_SIGNAL (w); \
107 } while (0)
108
109static SV *default_loop_sv;
110
74static struct EVAPI evapi; 111static struct EVAPI evapi;
75 112
76static HV 113static HV
114 *stash_loop,
77 *stash_watcher, 115 *stash_watcher,
78 *stash_io, 116 *stash_io,
79 *stash_timer, 117 *stash_timer,
80 *stash_periodic, 118 *stash_periodic,
81 *stash_signal, 119 *stash_signal,
83 *stash_stat, 121 *stash_stat,
84 *stash_idle, 122 *stash_idle,
85 *stash_prepare, 123 *stash_prepare,
86 *stash_check, 124 *stash_check,
87 *stash_embed, 125 *stash_embed,
88 *stash_fork; 126 *stash_fork,
89 127 *stash_cleanup,
90#ifndef SIG_SIZE 128 *stash_async;
91/* kudos to Slaven Rezic for the idea */
92static char sig_size [] = { SIG_NUM };
93# define SIG_SIZE (sizeof (sig_size) + 1)
94#endif
95
96static Signal
97sv_signum (SV *sig)
98{
99 Signal signum;
100
101 SvGETMAGIC (sig);
102
103 for (signum = 1; signum < SIG_SIZE; ++signum)
104 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
105 return signum;
106
107 signum = SvIV (sig);
108
109 if (signum > 0 && signum < SIG_SIZE)
110 return signum;
111
112 return -1;
113}
114 129
115///////////////////////////////////////////////////////////////////////////// 130/////////////////////////////////////////////////////////////////////////////
116// Event 131// Event
117 132
118static void e_cb (ev_watcher *w, int revents); 133static void e_cb (EV_P_ ev_watcher *w, int revents);
119
120static int
121sv_fileno (SV *fh)
122{
123 SvGETMAGIC (fh);
124
125 if (SvROK (fh))
126 fh = SvRV (fh);
127
128 if (SvTYPE (fh) == SVt_PVGV)
129 return PerlIO_fileno (IoIFP (sv_2io (fh)));
130
131 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
132 return SvIV (fh);
133
134 return -1;
135}
136 134
137static void * 135static void *
138e_new (int size, SV *cb_sv) 136e_new (int size, SV *cb_sv, SV *loop)
139{ 137{
138 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
140 ev_watcher *w; 139 ev_watcher *w;
141 SV *self = NEWSV (0, size); 140 SV *self = NEWSV (0, size);
142 SvPOK_only (self); 141 SvPOK_only (self);
143 SvCUR_set (self, size); 142 SvCUR_set (self, size);
144 143
145 w = (ev_watcher *)SvPVX (self); 144 w = (ev_watcher *)SvPVX (self);
146 145
147 ev_init (w, e_cb); 146 ev_init (w, cv ? e_cb : 0);
148 147
148 w->loop = SvREFCNT_inc (SvRV (loop));
149 w->flags = WFLAG_KEEPALIVE; 149 w->e_flags = WFLAG_KEEPALIVE;
150 w->data = 0; 150 w->data = 0;
151 w->fh = 0; 151 w->fh = 0;
152 w->cb_sv = newSVsv (cb_sv); 152 w->cb_sv = SvREFCNT_inc (cv);
153 w->self = self; 153 w->self = self;
154 154
155 return (void *)w; 155 return (void *)w;
156} 156}
157 157
158static void 158static void
159e_destroy (void *w_) 159e_destroy (void *w_)
160{ 160{
161 ev_watcher *w = (ev_watcher *)w_; 161 ev_watcher *w = (ev_watcher *)w_;
162 162
163 SvREFCNT_dec (w->loop ); w->loop = 0;
163 SvREFCNT_dec (w->fh ); w->fh = 0; 164 SvREFCNT_dec (w->fh ); w->fh = 0;
164 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0; 165 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0;
165 SvREFCNT_dec (w->data ); w->data = 0; 166 SvREFCNT_dec (w->data ); w->data = 0;
166} 167}
167 168
180 } 181 }
181 182
182 return rv; 183 return rv;
183} 184}
184 185
185static SV *sv_events_cache; 186static SV *sv_self_cache, *sv_events_cache;
186 187
187static void 188static void
188e_cb (ev_watcher *w, int revents) 189e_cb (EV_P_ ev_watcher *w, int revents)
189{ 190{
190 dSP; 191 dSP;
191 I32 mark = SP - PL_stack_base; 192 I32 mark = SP - PL_stack_base;
192 SV *sv_self, *sv_events; 193 SV *sv_self, *sv_events;
193 194
195 /* libev might have stopped the watcher */
196 if (expect_false (w->e_flags & WFLAG_UNREFED)
197 && !ev_is_active (w))
198 REF (w);
199
200 if (expect_true (sv_self_cache))
201 {
202 sv_self = sv_self_cache; sv_self_cache = 0;
203 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
204 }
205 else
206 {
194 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 207 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
208 SvREADONLY_on (sv_self);
209 }
210
211 if (expect_true (sv_events_cache))
212 {
213 sv_events = sv_events_cache; sv_events_cache = 0;
214 SvIV_set (sv_events, revents);
215 SvIOK_only (sv_events);
216 }
217 else
218 {
219 sv_events = newSViv (revents);
220 SvREADONLY_on (sv_events);
221 }
222
223 PUSHMARK (SP);
224 EXTEND (SP, 2);
225 PUSHs (sv_self);
226 PUSHs (sv_events);
227
228 PUTBACK;
229 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
230
231 if (expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
232 SvREFCNT_dec (sv_self);
233 else
234 {
235 SvREFCNT_dec (SvRV (sv_self));
236 SvRV_set (sv_self, &PL_sv_undef);
237 sv_self_cache = sv_self;
238 }
239
240 if (expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
241 SvREFCNT_dec (sv_events);
242 else
243 sv_events_cache = sv_events;
244
245 if (expect_false (SvTRUE (ERRSV)))
246 {
247 SPAGAIN;
248 PUSHMARK (SP);
249 PUTBACK;
250 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
251 }
252
253 SP = PL_stack_base + mark;
254 PUTBACK;
255}
256
257static void
258e_once_cb (int revents, void *arg)
259{
260 dSP;
261 I32 mark = SP - PL_stack_base;
262 SV *sv_events;
195 263
196 if (sv_events_cache) 264 if (sv_events_cache)
197 { 265 {
198 sv_events = sv_events_cache; sv_events_cache = 0; 266 sv_events = sv_events_cache; sv_events_cache = 0;
199 SvIV_set (sv_events, revents); 267 SvIV_set (sv_events, revents);
200 } 268 }
201 else 269 else
202 sv_events = newSViv (revents); 270 sv_events = newSViv (revents);
203 271
204 PUSHMARK (SP); 272 PUSHMARK (SP);
205 EXTEND (SP, 2);
206 PUSHs (sv_self);
207 PUSHs (sv_events); 273 XPUSHs (sv_events);
208 274
209 PUTBACK; 275 PUTBACK;
210 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 276 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
211 277
212 SvREFCNT_dec (sv_self); 278 SvREFCNT_dec ((SV *)arg);
213 279
214 if (sv_events_cache) 280 if (sv_events_cache)
215 SvREFCNT_dec (sv_events); 281 SvREFCNT_dec (sv_events);
216 else 282 else
217 sv_events_cache = sv_events; 283 sv_events_cache = sv_events;
226 292
227 SP = PL_stack_base + mark; 293 SP = PL_stack_base + mark;
228 PUTBACK; 294 PUTBACK;
229} 295}
230 296
231static void
232e_once_cb (int revents, void *arg)
233{
234 dSP;
235 I32 mark = SP - PL_stack_base;
236 SV *sv_events;
237
238 if (sv_events_cache)
239 {
240 sv_events = sv_events_cache; sv_events_cache = 0;
241 SvIV_set (sv_events, revents);
242 }
243 else
244 sv_events = newSViv (revents);
245
246 PUSHMARK (SP);
247 XPUSHs (sv_events);
248
249 PUTBACK;
250 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
251
252 SvREFCNT_dec ((SV *)arg);
253
254 if (sv_events_cache)
255 SvREFCNT_dec (sv_events);
256 else
257 sv_events_cache = sv_events;
258
259 if (SvTRUE (ERRSV))
260 {
261 PUSHMARK (SP);
262 PUTBACK;
263 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
264 }
265
266 SP = PL_stack_base + mark;
267 PUTBACK;
268}
269
270static ev_tstamp 297static ev_tstamp
271e_periodic_cb (ev_periodic *w, ev_tstamp now) 298e_periodic_cb (ev_periodic *w, ev_tstamp now)
272{ 299{
273 ev_tstamp retval; 300 ev_tstamp retval;
274 int count; 301 int count;
277 ENTER; 304 ENTER;
278 SAVETMPS; 305 SAVETMPS;
279 306
280 PUSHMARK (SP); 307 PUSHMARK (SP);
281 EXTEND (SP, 2); 308 EXTEND (SP, 2);
282 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 309 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
283 PUSHs (newSVnv (now)); 310 PUSHs (newSVnv (now));
284 311
285 PUTBACK; 312 PUTBACK;
286 count = call_sv (w->fh, G_SCALAR | G_EVAL); 313 count = call_sv (w->fh, G_SCALAR | G_EVAL);
287 SPAGAIN; 314 SPAGAIN;
308 LEAVE; 335 LEAVE;
309 336
310 return retval; 337 return retval;
311} 338}
312 339
313/////////////////////////////////////////////////////////////////////////////
314// DNS
315
316#ifndef _WIN32
317static void
318dns_cb (int result, char type, int count, int ttl, void *addresses, void *arg)
319{
320 dSP;
321 SV *cb = (SV *)arg;
322
323 ENTER;
324 SAVETMPS;
325 PUSHMARK (SP);
326 EXTEND (SP, count + 3);
327 PUSHs (sv_2mortal (newSViv (result)));
328
329 if (result == DNS_ERR_NONE && ttl >= 0)
330 {
331 int i;
332
333 PUSHs (sv_2mortal (newSViv (type)));
334 PUSHs (sv_2mortal (newSViv (ttl)));
335
336 for (i = 0; i < count; ++i)
337 switch (type)
338 {
339 case DNS_IPv6_AAAA:
340 PUSHs (sv_2mortal (newSVpvn (i * 16 + (char *)addresses, 16)));
341 break;
342 case DNS_IPv4_A:
343 PUSHs (sv_2mortal (newSVpvn (i * 4 + (char *)addresses, 4)));
344 break;
345 case DNS_PTR:
346 PUSHs (sv_2mortal (newSVpv (*(char **)addresses, 0)));
347 break;
348 }
349 }
350
351 PUTBACK;
352 call_sv (sv_2mortal (cb), G_DISCARD | G_VOID | G_EVAL);
353
354 FREETMPS;
355
356 if (SvTRUE (ERRSV))
357 {
358 PUSHMARK (SP);
359 PUTBACK;
360 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
361 }
362
363 LEAVE;
364}
365#endif
366
367#define CHECK_REPEAT(repeat) if (repeat < 0.) \ 340#define CHECK_REPEAT(repeat) if (repeat < 0.) \
368 croak (# repeat " value must be >= 0"); 341 croak (# repeat " value must be >= 0");
369 342
370#define CHECK_FD(fh,fd) if ((fd) < 0) \ 343#define CHECK_FD(fh,fd) if ((fd) < 0) \
371 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 344 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
372 345
373#define CHECK_SIG(sv,num) if ((num) < 0) \ 346#define CHECK_SIG(sv,num) if ((num) < 0) \
374 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 347 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
348
349static void
350default_fork (void)
351{
352 ev_loop_fork (EV_DEFAULT_UC);
353}
375 354
376///////////////////////////////////////////////////////////////////////////// 355/////////////////////////////////////////////////////////////////////////////
377// XS interface functions 356// XS interface functions
378 357
379MODULE = EV PACKAGE = EV PREFIX = ev_ 358MODULE = EV PACKAGE = EV PREFIX = ev_
392 const_iv (EV_, MINPRI) 371 const_iv (EV_, MINPRI)
393 const_iv (EV_, MAXPRI) 372 const_iv (EV_, MAXPRI)
394 373
395 const_iv (EV_, UNDEF) 374 const_iv (EV_, UNDEF)
396 const_iv (EV_, NONE) 375 const_iv (EV_, NONE)
397 const_iv (EV_, TIMEOUT)
398 const_iv (EV_, READ) 376 const_iv (EV_, READ)
399 const_iv (EV_, WRITE) 377 const_iv (EV_, WRITE)
378 const_iv (EV_, IO)
379 const_iv (EV_, TIMER)
380 const_iv (EV_, PERIODIC)
400 const_iv (EV_, SIGNAL) 381 const_iv (EV_, SIGNAL)
382 const_iv (EV_, CHILD)
383 const_iv (EV_, STAT)
401 const_iv (EV_, IDLE) 384 const_iv (EV_, IDLE)
385 const_iv (EV_, PREPARE)
402 const_iv (EV_, CHECK) 386 const_iv (EV_, CHECK)
387 const_iv (EV_, EMBED)
388 const_iv (EV_, FORK)
389 const_iv (EV_, CLEANUP)
390 const_iv (EV_, ASYNC)
391 const_iv (EV_, CUSTOM)
403 const_iv (EV_, ERROR) 392 const_iv (EV_, ERROR)
404 393
405 const_iv (EV, LOOP_ONESHOT) 394 const_iv (EV, RUN_NOWAIT)
406 const_iv (EV, LOOP_NONBLOCK)
407 const_iv (EV, UNLOOP_ONE) 395 const_iv (EV, RUN_ONCE)
396
397 const_iv (EV, BREAK_CANCEL)
398 const_iv (EV, BREAK_ONE)
408 const_iv (EV, UNLOOP_ALL) 399 const_iv (EV, BREAK_ALL)
409
410 const_iv (EV, BACKEND_SELECT) 400 const_iv (EV, BACKEND_SELECT)
411 const_iv (EV, BACKEND_POLL) 401 const_iv (EV, BACKEND_POLL)
412 const_iv (EV, BACKEND_EPOLL) 402 const_iv (EV, BACKEND_EPOLL)
413 const_iv (EV, BACKEND_KQUEUE) 403 const_iv (EV, BACKEND_KQUEUE)
414 const_iv (EV, BACKEND_DEVPOLL) 404 const_iv (EV, BACKEND_DEVPOLL)
415 const_iv (EV, BACKEND_PORT) 405 const_iv (EV, BACKEND_PORT)
406 const_iv (EV, BACKEND_ALL)
407 const_iv (EV, BACKEND_MASK)
416 const_iv (EV, FLAG_AUTO) 408 const_iv (EV, FLAG_AUTO)
409 const_iv (EV, FLAG_FORKCHECK)
410 const_iv (EV, FLAG_SIGNALFD)
411 const_iv (EV, FLAG_NOSIGMASK)
417 const_iv (EV, FLAG_NOENV) 412 const_iv (EV, FLAG_NOENV)
418 const_iv (EV, FLAG_FORKCHECK) 413 const_iv (EV, FLAG_NOINOTIFY)
414
415 const_iv (EV_, VERSION_MAJOR)
416 const_iv (EV_, VERSION_MINOR)
417#if EV_COMPAT3
418 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
419 const_iv (EV_, TIMEOUT)
420 const_iv (EV, LOOP_NONBLOCK)
421 const_iv (EV, LOOP_ONESHOT)
422 const_iv (EV, UNLOOP_CANCEL)
423 const_iv (EV, UNLOOP_ONE)
424 const_iv (EV, UNLOOP_ALL)
425#endif
419 }; 426 };
420 427
421 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 428 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
422 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 429 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
423 430
431 stash_loop = gv_stashpv ("EV::Loop" , 1);
424 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 432 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
425 stash_io = gv_stashpv ("EV::IO" , 1); 433 stash_io = gv_stashpv ("EV::IO" , 1);
426 stash_timer = gv_stashpv ("EV::Timer" , 1); 434 stash_timer = gv_stashpv ("EV::Timer" , 1);
427 stash_periodic = gv_stashpv ("EV::Periodic", 1); 435 stash_periodic = gv_stashpv ("EV::Periodic", 1);
428 stash_signal = gv_stashpv ("EV::Signal" , 1); 436 stash_signal = gv_stashpv ("EV::Signal" , 1);
430 stash_prepare = gv_stashpv ("EV::Prepare" , 1); 438 stash_prepare = gv_stashpv ("EV::Prepare" , 1);
431 stash_check = gv_stashpv ("EV::Check" , 1); 439 stash_check = gv_stashpv ("EV::Check" , 1);
432 stash_child = gv_stashpv ("EV::Child" , 1); 440 stash_child = gv_stashpv ("EV::Child" , 1);
433 stash_embed = gv_stashpv ("EV::Embed" , 1); 441 stash_embed = gv_stashpv ("EV::Embed" , 1);
434 stash_stat = gv_stashpv ("EV::Stat" , 1); 442 stash_stat = gv_stashpv ("EV::Stat" , 1);
443 stash_fork = gv_stashpv ("EV::Fork" , 1);
444 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
445 stash_async = gv_stashpv ("EV::Async" , 1);
435 446
436 { 447 {
437 SV *sv = perl_get_sv ("EV::API", TRUE); 448 SV *sv = perl_get_sv ("EV::API", TRUE);
438 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 449 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
439 450
440 /* the poor man's shared library emulator */ 451 /* the poor man's shared library emulator */
441 evapi.ver = EV_API_VERSION; 452 evapi.ver = EV_API_VERSION;
442 evapi.rev = EV_API_REVISION; 453 evapi.rev = EV_API_REVISION;
443 evapi.sv_fileno = sv_fileno; 454 evapi.sv_fileno = sv_fileno;
444 evapi.sv_signum = sv_signum; 455 evapi.sv_signum = s_signum;
456 evapi.supported_backends = ev_supported_backends ();
457 evapi.recommended_backends = ev_recommended_backends ();
458 evapi.embeddable_backends = ev_embeddable_backends ();
459 evapi.time_ = ev_time;
460 evapi.sleep_ = ev_sleep;
461 evapi.loop_new = ev_loop_new;
462 evapi.loop_destroy = ev_loop_destroy;
463 evapi.loop_fork = ev_loop_fork;
464 evapi.iteration = ev_iteration;
465 evapi.depth = ev_depth;
466 evapi.set_userdata = ev_set_userdata;
467 evapi.userdata = ev_userdata;
445 evapi.now = ev_now; 468 evapi.now = ev_now;
469 evapi.now_update = ev_now_update;
470 evapi.suspend = ev_suspend;
471 evapi.resume = ev_resume;
446 evapi.backend = ev_backend; 472 evapi.backend = ev_backend;
447 evapi.unloop = ev_unloop; 473 evapi.break_ = ev_break;
474 evapi.invoke_pending = ev_invoke_pending;
475 evapi.pending_count = ev_pending_count;
476 evapi.verify = ev_verify;
477 evapi.set_loop_release_cb = ev_set_loop_release_cb;
478 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
448 evapi.ref = ev_ref; 479 evapi.ref = ev_ref;
449 evapi.unref = ev_unref; 480 evapi.unref = ev_unref;
450 evapi.time = ev_time; 481 evapi.run = ev_run;
451 evapi.loop = ev_loop;
452 evapi.once = ev_once; 482 evapi.once = ev_once;
453 evapi.io_start = ev_io_start; 483 evapi.io_start = ev_io_start;
454 evapi.io_stop = ev_io_stop; 484 evapi.io_stop = ev_io_stop;
455 evapi.timer_start = ev_timer_start; 485 evapi.timer_start = ev_timer_start;
456 evapi.timer_stop = ev_timer_stop; 486 evapi.timer_stop = ev_timer_stop;
457 evapi.timer_again = ev_timer_again; 487 evapi.timer_again = ev_timer_again;
488 evapi.timer_remaining = ev_timer_remaining;
458 evapi.periodic_start = ev_periodic_start; 489 evapi.periodic_start = ev_periodic_start;
459 evapi.periodic_stop = ev_periodic_stop; 490 evapi.periodic_stop = ev_periodic_stop;
460 evapi.signal_start = ev_signal_start; 491 evapi.signal_start = ev_signal_start;
461 evapi.signal_stop = ev_signal_stop; 492 evapi.signal_stop = ev_signal_stop;
462 evapi.idle_start = ev_idle_start; 493 evapi.idle_start = ev_idle_start;
463 evapi.idle_stop = ev_idle_stop; 494 evapi.idle_stop = ev_idle_stop;
464 evapi.prepare_start = ev_prepare_start; 495 evapi.prepare_start = ev_prepare_start;
465 evapi.prepare_stop = ev_prepare_stop; 496 evapi.prepare_stop = ev_prepare_stop;
466 evapi.check_start = ev_check_start; 497 evapi.check_start = ev_check_start;
467 evapi.check_stop = ev_check_stop; 498 evapi.check_stop = ev_check_stop;
499#if EV_CHILD_ENABLE
468 evapi.child_start = ev_child_start; 500 evapi.child_start = ev_child_start;
469 evapi.child_stop = ev_child_stop; 501 evapi.child_stop = ev_child_stop;
502#endif
470 evapi.stat_start = ev_stat_start; 503 evapi.stat_start = ev_stat_start;
471 evapi.stat_stop = ev_stat_stop; 504 evapi.stat_stop = ev_stat_stop;
472 evapi.stat_stat = ev_stat_stat; 505 evapi.stat_stat = ev_stat_stat;
506 evapi.embed_start = ev_embed_start;
507 evapi.embed_stop = ev_embed_stop;
508 evapi.embed_sweep = ev_embed_sweep;
509 evapi.fork_start = ev_fork_start;
510 evapi.fork_stop = ev_fork_stop;
511 evapi.cleanup_start = ev_cleanup_start;
512 evapi.cleanup_stop = ev_cleanup_stop;
513 evapi.async_start = ev_async_start;
514 evapi.async_stop = ev_async_stop;
515 evapi.async_send = ev_async_send;
516 evapi.clear_pending = ev_clear_pending;
517 evapi.invoke = ev_invoke;
473 518
474 sv_setiv (sv, (IV)&evapi); 519 sv_setiv (sv, (IV)&evapi);
475 SvREADONLY_on (sv); 520 SvREADONLY_on (sv);
476 } 521 }
477#ifndef _WIN32 522#if !defined _WIN32 && !defined _MINIX
523#if __linux
524 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
525 __register_atfork (0, 0, default_fork, 0);
526#else
478 pthread_atfork (0, 0, ev_default_fork); 527 pthread_atfork (0, 0, default_fork);
479#endif 528#endif
529#endif
530}
531
532SV *ev_default_loop (unsigned int flags = 0)
533 CODE:
534{
535 if (!default_loop_sv)
536 {
537 evapi.default_loop = ev_default_loop (flags);
538
539 if (!evapi.default_loop)
540 XSRETURN_UNDEF;
541
542 default_loop_sv = sv_bless (newRV_noinc (newSViv (PTR2IV (evapi.default_loop))), stash_loop);
543 }
544
545 RETVAL = newSVsv (default_loop_sv);
546}
547 OUTPUT:
548 RETVAL
549
550void ev_default_destroy ()
551 CODE:
552 ev_loop_destroy (EV_DEFAULT_UC);
553 SvREFCNT_dec (default_loop_sv);
554 default_loop_sv = 0;
555
556unsigned int ev_supported_backends ()
557
558unsigned int ev_recommended_backends ()
559
560unsigned int ev_embeddable_backends ()
561
562void ev_sleep (NV interval)
563
564NV ev_time ()
565
566void ev_feed_signal (SV *signal)
567 CODE:
568{
569 Signal signum = s_signum (signal);
570 CHECK_SIG (signal, signum);
571
572 ev_feed_signal (signum);
480} 573}
481 574
482NV ev_now () 575NV ev_now ()
576 C_ARGS: evapi.default_loop
577
578void ev_now_update ()
579 C_ARGS: evapi.default_loop
580
581void ev_suspend ()
582 C_ARGS: evapi.default_loop
583
584void ev_resume ()
585 C_ARGS: evapi.default_loop
483 586
484unsigned int ev_backend () 587unsigned int ev_backend ()
588 C_ARGS: evapi.default_loop
485 589
486NV ev_time () 590void ev_verify ()
591 ALIAS:
592 loop_verify = 1
593 C_ARGS: evapi.default_loop
487 594
488unsigned int ev_default_loop (unsigned int flags = ev_supported_backends ()) 595unsigned int ev_iteration ()
596 ALIAS:
597 loop_count = 1
598 C_ARGS: evapi.default_loop
489 599
600unsigned int ev_depth ()
601 ALIAS:
602 loop_depth = 1
603 C_ARGS: evapi.default_loop
604
605void ev_set_io_collect_interval (NV interval)
606 C_ARGS: evapi.default_loop, interval
607
608void ev_set_timeout_collect_interval (NV interval)
609 C_ARGS: evapi.default_loop, interval
610
490void ev_loop (int flags = 0) 611int ev_run (int flags = 0)
612 ALIAS:
613 loop = 1
614 C_ARGS: evapi.default_loop, flags
491 615
492void ev_unloop (int how = 1) 616void ev_break (int how = EVBREAK_ONE)
617 ALIAS:
618 unloop = 1
619 C_ARGS: evapi.default_loop, how
620
621void ev_feed_fd_event (int fd, int revents = EV_NONE)
622 C_ARGS: evapi.default_loop, fd, revents
623
624void ev_feed_signal_event (SV *signal)
625 CODE:
626{
627 Signal signum = s_signum (signal);
628 CHECK_SIG (signal, signum);
629
630 ev_feed_signal_event (evapi.default_loop, signum);
631}
632
633unsigned int ev_pending_count ()
634 C_ARGS: evapi.default_loop
635
636void ev_invoke_pending ()
637 C_ARGS: evapi.default_loop
493 638
494ev_io *io (SV *fh, int events, SV *cb) 639ev_io *io (SV *fh, int events, SV *cb)
495 ALIAS: 640 ALIAS:
496 io_ns = 1 641 io_ns = 1
642 _ae_io = 2
497 CODE: 643 CODE:
498{ 644{
499 int fd = sv_fileno (fh); 645 int fd = s_fileno (fh, events & EV_WRITE);
500 CHECK_FD (fh, fd); 646 CHECK_FD (fh, fd);
501 647
648 if (ix == 2)
649 {
650 ix = 0;
651 events = events ? EV_WRITE : EV_READ;
652 }
653
502 RETVAL = e_new (sizeof (ev_io), cb); 654 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
503 RETVAL->fh = newSVsv (fh); 655 e_fh (RETVAL) = newSVsv (fh);
504 ev_io_set (RETVAL, fd, events); 656 ev_io_set (RETVAL, fd, events);
505 if (!ix) START (io, RETVAL); 657 if (!ix) START (io, RETVAL);
506} 658}
507 OUTPUT: 659 OUTPUT:
508 RETVAL 660 RETVAL
511 ALIAS: 663 ALIAS:
512 timer_ns = 1 664 timer_ns = 1
513 INIT: 665 INIT:
514 CHECK_REPEAT (repeat); 666 CHECK_REPEAT (repeat);
515 CODE: 667 CODE:
516 RETVAL = e_new (sizeof (ev_timer), cb); 668 RETVAL = e_new (sizeof (ev_timer), cb, default_loop_sv);
517 ev_timer_set (RETVAL, after, repeat); 669 ev_timer_set (RETVAL, after, repeat);
518 if (!ix) START (timer, RETVAL); 670 if (!ix) START (timer, RETVAL);
519 OUTPUT: 671 OUTPUT:
520 RETVAL 672 RETVAL
521 673
525 INIT: 677 INIT:
526 CHECK_REPEAT (interval); 678 CHECK_REPEAT (interval);
527 CODE: 679 CODE:
528{ 680{
529 ev_periodic *w; 681 ev_periodic *w;
530 w = e_new (sizeof (ev_periodic), cb); 682 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
531 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 683 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
532 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 684 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
533 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 685 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
534 if (!ix) START (periodic, w); 686 if (!ix) START (periodic, w);
535} 687}
536 OUTPUT: 688 OUTPUT:
537 RETVAL 689 RETVAL
539ev_signal *signal (SV *signal, SV *cb) 691ev_signal *signal (SV *signal, SV *cb)
540 ALIAS: 692 ALIAS:
541 signal_ns = 1 693 signal_ns = 1
542 CODE: 694 CODE:
543{ 695{
544 Signal signum = sv_signum (signal); 696 Signal signum = s_signum (signal);
545 CHECK_SIG (signal, signum); 697 CHECK_SIG (signal, signum);
546 698
547 RETVAL = e_new (sizeof (ev_signal), cb); 699 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
548 ev_signal_set (RETVAL, signum); 700 ev_signal_set (RETVAL, signum);
549 if (!ix) START (signal, RETVAL); 701 if (!ix) START_SIGNAL (RETVAL);
550} 702}
551 OUTPUT: 703 OUTPUT:
552 RETVAL 704 RETVAL
553 705
554ev_idle *idle (SV *cb) 706ev_idle *idle (SV *cb)
555 ALIAS: 707 ALIAS:
556 idle_ns = 1 708 idle_ns = 1
557 CODE: 709 CODE:
558 RETVAL = e_new (sizeof (ev_idle), cb); 710 RETVAL = e_new (sizeof (ev_idle), cb, default_loop_sv);
559 ev_idle_set (RETVAL); 711 ev_idle_set (RETVAL);
560 if (!ix) START (idle, RETVAL); 712 if (!ix) START (idle, RETVAL);
561 OUTPUT: 713 OUTPUT:
562 RETVAL 714 RETVAL
563 715
564ev_prepare *prepare (SV *cb) 716ev_prepare *prepare (SV *cb)
565 ALIAS: 717 ALIAS:
566 prepare_ns = 1 718 prepare_ns = 1
567 CODE: 719 CODE:
568 RETVAL = e_new (sizeof (ev_prepare), cb); 720 RETVAL = e_new (sizeof (ev_prepare), cb, default_loop_sv);
569 ev_prepare_set (RETVAL); 721 ev_prepare_set (RETVAL);
570 if (!ix) START (prepare, RETVAL); 722 if (!ix) START (prepare, RETVAL);
571 OUTPUT: 723 OUTPUT:
572 RETVAL 724 RETVAL
573 725
574ev_check *check (SV *cb) 726ev_check *check (SV *cb)
575 ALIAS: 727 ALIAS:
576 check_ns = 1 728 check_ns = 1
577 CODE: 729 CODE:
578 RETVAL = e_new (sizeof (ev_check), cb); 730 RETVAL = e_new (sizeof (ev_check), cb, default_loop_sv);
579 ev_check_set (RETVAL); 731 ev_check_set (RETVAL);
580 if (!ix) START (check, RETVAL); 732 if (!ix) START (check, RETVAL);
581 OUTPUT: 733 OUTPUT:
582 RETVAL 734 RETVAL
583 735
736ev_fork *fork (SV *cb)
737 ALIAS:
738 fork_ns = 1
739 CODE:
740 RETVAL = e_new (sizeof (ev_fork), cb, default_loop_sv);
741 ev_fork_set (RETVAL);
742 if (!ix) START (fork, RETVAL);
743 OUTPUT:
744 RETVAL
745
746#if CLEANUP_ENABLED
747
748ev_cleanup *cleanup (SV *cb)
749 ALIAS:
750 cleanup_ns = 1
751 CODE:
752 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
753 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
754 ev_cleanup_set (RETVAL);
755 if (!ix) START (cleanup, RETVAL);
756 OUTPUT:
757 RETVAL
758
759#endif
760
584ev_child *child (int pid, SV *cb) 761ev_child *child (int pid, int trace, SV *cb)
585 ALIAS: 762 ALIAS:
586 child_ns = 1 763 child_ns = 1
587 CODE: 764 CODE:
765#if EV_CHILD_ENABLE
588 RETVAL = e_new (sizeof (ev_child), cb); 766 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
589 ev_child_set (RETVAL, pid); 767 ev_child_set (RETVAL, pid, trace);
590 if (!ix) START (child, RETVAL); 768 if (!ix) START (child, RETVAL);
769#else
770 croak ("EV::child watchers not supported on this platform");
771#endif
591 OUTPUT: 772 OUTPUT:
592 RETVAL 773 RETVAL
774
593 775
594ev_stat *stat (SV *path, NV interval, SV *cb) 776ev_stat *stat (SV *path, NV interval, SV *cb)
595 ALIAS: 777 ALIAS:
596 stat_ns = 1 778 stat_ns = 1
597 CODE: 779 CODE:
598 RETVAL = e_new (sizeof (ev_stat), cb); 780 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
599 RETVAL->fh = newSVsv (path); 781 e_fh (RETVAL) = newSVsv (path);
600 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 782 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
601 if (!ix) START (stat, RETVAL); 783 if (!ix) START (stat, RETVAL);
602 OUTPUT: 784 OUTPUT:
603 RETVAL 785 RETVAL
604 786
787#ifndef EV_NO_LOOPS
788
789ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
790 ALIAS:
791 embed_ns = 1
792 CODE:
793{
794 if (!(ev_backend (loop) & ev_embeddable_backends ()))
795 croak ("passed loop is not embeddable via EV::embed,");
796
797 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
798 e_fh (RETVAL) = newSVsv (ST (0));
799 ev_embed_set (RETVAL, loop);
800 if (!ix) START (embed, RETVAL);
801}
802 OUTPUT:
803 RETVAL
804
805#endif
806
807ev_async *async (SV *cb)
808 ALIAS:
809 async_ns = 1
810 CODE:
811 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
812 ev_async_set (RETVAL);
813 if (!ix) START (async, RETVAL);
814 OUTPUT:
815 RETVAL
816
605void once (SV *fh, int events, SV *timeout, SV *cb) 817void once (SV *fh, int events, SV *timeout, SV *cb)
606 CODE: 818 CODE:
607 ev_once ( 819 ev_once (
820 evapi.default_loop,
608 sv_fileno (fh), events, 821 s_fileno (fh, events & EV_WRITE), events,
609 SvOK (timeout) ? SvNV (timeout) : -1., 822 SvOK (timeout) ? SvNV (timeout) : -1.,
610 e_once_cb, 823 e_once_cb,
611 newSVsv (cb) 824 newSVsv (cb)
612 ); 825 );
613 826
617 830
618int ev_is_active (ev_watcher *w) 831int ev_is_active (ev_watcher *w)
619 832
620int ev_is_pending (ev_watcher *w) 833int ev_is_pending (ev_watcher *w)
621 834
835void ev_invoke (ev_watcher *w, int revents = EV_NONE)
836 C_ARGS: e_loop (w), w, revents
837
838int ev_clear_pending (ev_watcher *w)
839 C_ARGS: e_loop (w), w
840
841void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
842 C_ARGS: e_loop (w), w, revents
843
622int keepalive (ev_watcher *w, int new_value = 0) 844int keepalive (ev_watcher *w, int new_value = 0)
623 CODE: 845 CODE:
624{ 846{
625 RETVAL = w->flags & WFLAG_KEEPALIVE; 847 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
626 new_value = new_value ? WFLAG_KEEPALIVE : 0; 848 new_value = new_value ? WFLAG_KEEPALIVE : 0;
627 849
628 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 850 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
629 { 851 {
852 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
630 REF (w); 853 REF (w);
631 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value;
632 UNREF (w); 854 UNREF (w);
633 } 855 }
634} 856}
635 OUTPUT: 857 OUTPUT:
636 RETVAL 858 RETVAL
637 859
638SV *cb (ev_watcher *w, SV *new_cb = 0) 860SV *cb (ev_watcher *w, SV *new_cb = 0)
639 CODE: 861 CODE:
640{ 862{
641 RETVAL = newSVsv (w->cb_sv);
642
643 if (items > 1) 863 if (items > 1)
644 sv_setsv (w->cb_sv, new_cb); 864 {
865 new_cb = s_get_cv_croak (new_cb);
866 RETVAL = newRV_noinc (w->cb_sv);
867 w->cb_sv = SvREFCNT_inc (new_cb);
868 }
869 else
870 RETVAL = newRV_inc (w->cb_sv);
645} 871}
646 OUTPUT: 872 OUTPUT:
647 RETVAL 873 RETVAL
648 874
649SV *data (ev_watcher *w, SV *new_data = 0) 875SV *data (ev_watcher *w, SV *new_data = 0)
658 } 884 }
659} 885}
660 OUTPUT: 886 OUTPUT:
661 RETVAL 887 RETVAL
662 888
663void trigger (ev_watcher *w, int revents = EV_NONE) 889SV *loop (ev_watcher *w)
664 CODE: 890 CODE:
665 w->cb (w, revents); 891 RETVAL = newRV_inc (w->loop);
892 OUTPUT:
893 RETVAL
666 894
667int priority (ev_watcher *w, int new_priority = 0) 895int priority (ev_watcher *w, int new_priority = 0)
668 CODE: 896 CODE:
669{ 897{
670 RETVAL = w->priority; 898 RETVAL = w->priority;
671 899
672 if (items > 1) 900 if (items > 1)
673 { 901 {
674 int active = ev_is_active (w); 902 int active = ev_is_active (w);
675
676 if (new_priority < EV_MINPRI || new_priority > EV_MAXPRI)
677 croak ("watcher priority out of range, value must be between %d and %d, inclusive", EV_MINPRI, EV_MAXPRI);
678 903
679 if (active) 904 if (active)
680 { 905 {
681 /* grrr. */ 906 /* grrr. */
682 PUSHMARK (SP); 907 PUSHMARK (SP);
683 XPUSHs (ST (0)); 908 XPUSHs (ST (0));
909 PUTBACK;
684 call_method ("stop", G_DISCARD | G_VOID); 910 call_method ("stop", G_DISCARD | G_VOID);
685 } 911 }
686 912
687 ev_set_priority (w, new_priority); 913 ev_set_priority (w, new_priority);
688 914
689 if (active) 915 if (active)
690 { 916 {
691 PUSHMARK (SP); 917 PUSHMARK (SP);
692 XPUSHs (ST (0)); 918 XPUSHs (ST (0));
919 PUTBACK;
693 call_method ("start", G_DISCARD | G_VOID); 920 call_method ("start", G_DISCARD | G_VOID);
694 } 921 }
695 } 922 }
696} 923}
697 OUTPUT: 924 OUTPUT:
713 e_destroy (w); 940 e_destroy (w);
714 941
715void set (ev_io *w, SV *fh, int events) 942void set (ev_io *w, SV *fh, int events)
716 CODE: 943 CODE:
717{ 944{
718 int fd = sv_fileno (fh); 945 int fd = s_fileno (fh, events & EV_WRITE);
719 CHECK_FD (fh, fd); 946 CHECK_FD (fh, fd);
720 947
721 sv_setsv (w->fh, fh); 948 sv_setsv (e_fh (w), fh);
722 RESET (io, w, (w, fd, events)); 949 RESET (io, w, (w, fd, events));
723} 950}
724 951
725SV *fh (ev_io *w, SV *new_fh = 0) 952SV *fh (ev_io *w, SV *new_fh = 0)
726 CODE: 953 CODE:
727{ 954{
728 if (items > 1) 955 if (items > 1)
729 { 956 {
730 int fd = sv_fileno (new_fh); 957 int fd = s_fileno (new_fh, w->events & EV_WRITE);
731 CHECK_FD (new_fh, fd); 958 CHECK_FD (new_fh, fd);
732 959
733 RETVAL = w->fh; 960 RETVAL = e_fh (w);
734 w->fh = newSVsv (new_fh); 961 e_fh (w) = newSVsv (new_fh);
735 962
736 RESET (io, w, (w, fd, w->events)); 963 RESET (io, w, (w, fd, w->events));
737 } 964 }
738 else 965 else
739 RETVAL = newSVsv (w->fh); 966 RETVAL = newSVsv (e_fh (w));
740} 967}
741 OUTPUT: 968 OUTPUT:
742 RETVAL 969 RETVAL
743 970
744int events (ev_io *w, int new_events = EV_UNDEF) 971int events (ev_io *w, int new_events = EV_UNDEF)
754 981
755MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 982MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
756 983
757void ev_signal_start (ev_signal *w) 984void ev_signal_start (ev_signal *w)
758 CODE: 985 CODE:
759 START (signal, w); 986 START_SIGNAL (w);
760 987
761void ev_signal_stop (ev_signal *w) 988void ev_signal_stop (ev_signal *w)
762 CODE: 989 CODE:
763 STOP (signal, w); 990 STOP (signal, w);
764 991
768 e_destroy (w); 995 e_destroy (w);
769 996
770void set (ev_signal *w, SV *signal) 997void set (ev_signal *w, SV *signal)
771 CODE: 998 CODE:
772{ 999{
773 Signal signum = sv_signum (signal); 1000 Signal signum = s_signum (signal);
774 CHECK_SIG (signal, signum); 1001 CHECK_SIG (signal, signum);
775 1002
776 RESET (signal, w, (w, signum)); 1003 RESET_SIGNAL (w, (w, signum));
777} 1004}
778 1005
779int signal (ev_signal *w, SV *new_signal = 0) 1006int signal (ev_signal *w, SV *new_signal = 0)
780 CODE: 1007 CODE:
781{ 1008{
782 RETVAL = w->signum; 1009 RETVAL = w->signum;
783 1010
784 if (items > 1) 1011 if (items > 1)
785 { 1012 {
786 Signal signum = sv_signum (new_signal); 1013 Signal signum = s_signum (new_signal);
787 CHECK_SIG (new_signal, signum); 1014 CHECK_SIG (new_signal, signum);
788 1015
789 RESET (signal, w, (w, signum)); 1016 RESET_SIGNAL (w, (w, signum));
790 } 1017 }
791} 1018}
792 OUTPUT: 1019 OUTPUT:
793 RETVAL 1020 RETVAL
794 1021
806 1033
807void ev_timer_again (ev_timer *w) 1034void ev_timer_again (ev_timer *w)
808 INIT: 1035 INIT:
809 CHECK_REPEAT (w->repeat); 1036 CHECK_REPEAT (w->repeat);
810 CODE: 1037 CODE:
811 REF (w);
812 ev_timer_again (w); 1038 ev_timer_again (e_loop (w), w);
813 UNREF (w); 1039 UNREF (w);
1040
1041NV ev_timer_remaining (ev_timer *w)
1042 C_ARGS: e_loop (w), w
814 1043
815void DESTROY (ev_timer *w) 1044void DESTROY (ev_timer *w)
816 CODE: 1045 CODE:
817 STOP (timer, w); 1046 STOP (timer, w);
818 e_destroy (w); 1047 e_destroy (w);
835 CODE: 1064 CODE:
836 STOP (periodic, w); 1065 STOP (periodic, w);
837 1066
838void ev_periodic_again (ev_periodic *w) 1067void ev_periodic_again (ev_periodic *w)
839 CODE: 1068 CODE:
840 REF (w);
841 ev_periodic_again (w); 1069 ev_periodic_again (e_loop (w), w);
842 UNREF (w); 1070 UNREF (w);
843 1071
844void DESTROY (ev_periodic *w) 1072void DESTROY (ev_periodic *w)
845 CODE: 1073 CODE:
846 STOP (periodic, w); 1074 STOP (periodic, w);
849void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1077void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
850 INIT: 1078 INIT:
851 CHECK_REPEAT (interval); 1079 CHECK_REPEAT (interval);
852 CODE: 1080 CODE:
853{ 1081{
854 SvREFCNT_dec (w->fh); 1082 SvREFCNT_dec (e_fh (w));
855 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1083 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
856 1084
857 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1085 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
858} 1086}
1087
1088NV at (ev_periodic *w)
1089 CODE:
1090 RETVAL = ev_periodic_at (w);
1091 OUTPUT:
1092 RETVAL
859 1093
860MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1094MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
861 1095
862void ev_idle_start (ev_idle *w) 1096void ev_idle_start (ev_idle *w)
863 CODE: 1097 CODE:
870void DESTROY (ev_idle *w) 1104void DESTROY (ev_idle *w)
871 CODE: 1105 CODE:
872 STOP (idle, w); 1106 STOP (idle, w);
873 e_destroy (w); 1107 e_destroy (w);
874 1108
875MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_check_ 1109MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_prepare_
876 1110
877void ev_prepare_start (ev_prepare *w) 1111void ev_prepare_start (ev_prepare *w)
878 CODE: 1112 CODE:
879 START (prepare, w); 1113 START (prepare, w);
880 1114
900void DESTROY (ev_check *w) 1134void DESTROY (ev_check *w)
901 CODE: 1135 CODE:
902 STOP (check, w); 1136 STOP (check, w);
903 e_destroy (w); 1137 e_destroy (w);
904 1138
1139MODULE = EV PACKAGE = EV::Fork PREFIX = ev_fork_
1140
1141void ev_fork_start (ev_fork *w)
1142 CODE:
1143 START (fork, w);
1144
1145void ev_fork_stop (ev_fork *w)
1146 CODE:
1147 STOP (fork, w);
1148
1149void DESTROY (ev_fork *w)
1150 CODE:
1151 STOP (fork, w);
1152 e_destroy (w);
1153
1154#if CLEANUP_ENABLED
1155
1156MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1157
1158void ev_cleanup_start (ev_cleanup *w)
1159 CODE:
1160 START (cleanup, w);
1161
1162void ev_cleanup_stop (ev_cleanup *w)
1163 CODE:
1164 STOP (cleanup, w);
1165
1166void DESTROY (ev_cleanup *w)
1167 CODE:
1168 STOP (cleanup, w);
1169 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1170 e_destroy (w);
1171
1172int keepalive (ev_watcher *w, SV *new_value = 0)
1173 CODE:
1174 RETVAL = 1;
1175 OUTPUT:
1176 RETVAL
1177
1178#endif
1179
905MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1180MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1181
1182#if EV_CHILD_ENABLE
906 1183
907void ev_child_start (ev_child *w) 1184void ev_child_start (ev_child *w)
908 CODE: 1185 CODE:
909 START (child, w); 1186 START (child, w);
910 1187
915void DESTROY (ev_child *w) 1192void DESTROY (ev_child *w)
916 CODE: 1193 CODE:
917 STOP (child, w); 1194 STOP (child, w);
918 e_destroy (w); 1195 e_destroy (w);
919 1196
920void set (ev_child *w, int pid) 1197void set (ev_child *w, int pid, int trace)
921 CODE: 1198 CODE:
922 RESET (child, w, (w, pid)); 1199 RESET (child, w, (w, pid, trace));
923 1200
924int pid (ev_child *w, int new_pid = 0)
925 CODE:
926{
927 RETVAL = w->pid;
928
929 if (items > 1)
930 RESET (child, w, (w, new_pid));
931}
932 OUTPUT:
933 RETVAL
934
935
936int rstatus (ev_child *w) 1201int pid (ev_child *w)
937 ALIAS: 1202 ALIAS:
938 rpid = 1 1203 rpid = 1
1204 rstatus = 2
939 CODE: 1205 CODE:
940 RETVAL = ix ? w->rpid : w->rstatus; 1206 RETVAL = ix == 0 ? w->pid
1207 : ix == 1 ? w->rpid
1208 : w->rstatus;
941 OUTPUT: 1209 OUTPUT:
942 RETVAL 1210 RETVAL
1211
1212#endif
943 1213
944MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1214MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
945 1215
946void ev_stat_start (ev_stat *w) 1216void ev_stat_start (ev_stat *w)
947 CODE: 1217 CODE:
957 e_destroy (w); 1227 e_destroy (w);
958 1228
959void set (ev_stat *w, SV *path, NV interval) 1229void set (ev_stat *w, SV *path, NV interval)
960 CODE: 1230 CODE:
961{ 1231{
962 sv_setsv (w->fh, path); 1232 sv_setsv (e_fh (w), path);
963 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1233 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
964} 1234}
965 1235
966SV *path (ev_stat *w, SV *new_path = 0) 1236SV *path (ev_stat *w, SV *new_path = 0)
967 CODE: 1237 CODE:
968{ 1238{
969 RETVAL = SvREFCNT_inc (w->fh); 1239 RETVAL = SvREFCNT_inc (e_fh (w));
970 1240
971 if (items > 1) 1241 if (items > 1)
972 { 1242 {
973 SvREFCNT_dec (w->fh); 1243 SvREFCNT_dec (e_fh (w));
974 w->fh = newSVsv (new_path); 1244 e_fh (w) = newSVsv (new_path);
975 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1245 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
976 } 1246 }
977} 1247}
978 OUTPUT: 1248 OUTPUT:
979 RETVAL 1249 RETVAL
980 1250
982 CODE: 1252 CODE:
983{ 1253{
984 RETVAL = w->interval; 1254 RETVAL = w->interval;
985 1255
986 if (items > 1) 1256 if (items > 1)
987 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1257 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
988} 1258}
989 OUTPUT: 1259 OUTPUT:
990 RETVAL 1260 RETVAL
991 1261
992void prev (ev_stat *w) 1262void prev (ev_stat *w)
996 PPCODE: 1266 PPCODE:
997{ 1267{
998 ev_statdata *s = ix ? &w->attr : &w->prev; 1268 ev_statdata *s = ix ? &w->attr : &w->prev;
999 1269
1000 if (ix == 1) 1270 if (ix == 1)
1001 ev_stat_stat (w); 1271 ev_stat_stat (e_loop (w), w);
1002 else if (!s->st_nlink) 1272 else if (!s->st_nlink)
1003 errno = ENOENT; 1273 errno = ENOENT;
1004 1274
1005 PL_statcache.st_dev = s->st_nlink; 1275 PL_statcache.st_dev = s->st_nlink;
1006 PL_statcache.st_ino = s->st_ino; 1276 PL_statcache.st_ino = s->st_ino;
1033 PUSHs (sv_2mortal (newSVuv (4096))); 1303 PUSHs (sv_2mortal (newSVuv (4096)));
1034 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096)))); 1304 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096))));
1035 } 1305 }
1036} 1306}
1037 1307
1038#ifndef _WIN32
1039
1040MODULE = EV PACKAGE = EV::DNS PREFIX = evdns_ 1308MODULE = EV PACKAGE = EV::Embed PREFIX = ev_embed_
1041 1309
1042BOOT: 1310void ev_embed_start (ev_embed *w)
1043{ 1311 CODE:
1044 HV *stash = gv_stashpv ("EV::DNS", 1); 1312 START (embed, w);
1045 1313
1046 static const struct { 1314void ev_embed_stop (ev_embed *w)
1047 const char *name; 1315 CODE:
1048 IV iv; 1316 STOP (embed, w);
1049 } *civ, const_iv[] = {
1050# define const_iv(pfx, name) { # name, (IV) pfx ## name },
1051 const_iv (DNS_, ERR_NONE)
1052 const_iv (DNS_, ERR_FORMAT)
1053 const_iv (DNS_, ERR_SERVERFAILED)
1054 const_iv (DNS_, ERR_NOTEXIST)
1055 const_iv (DNS_, ERR_NOTIMPL)
1056 const_iv (DNS_, ERR_REFUSED)
1057 const_iv (DNS_, ERR_TRUNCATED)
1058 const_iv (DNS_, ERR_UNKNOWN)
1059 const_iv (DNS_, ERR_TIMEOUT)
1060 const_iv (DNS_, ERR_SHUTDOWN)
1061 const_iv (DNS_, IPv4_A)
1062 const_iv (DNS_, PTR)
1063 const_iv (DNS_, IPv6_AAAA)
1064 const_iv (DNS_, QUERY_NO_SEARCH)
1065 const_iv (DNS_, OPTION_SEARCH)
1066 const_iv (DNS_, OPTION_NAMESERVERS)
1067 const_iv (DNS_, OPTION_MISC)
1068 const_iv (DNS_, OPTIONS_ALL)
1069 const_iv (DNS_, NO_SEARCH)
1070 };
1071 1317
1072 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 1318void DESTROY (ev_embed *w)
1073 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 1319 CODE:
1074} 1320 STOP (embed, w);
1321 e_destroy (w);
1075 1322
1076int evdns_init () 1323void set (ev_embed *w, struct ev_loop *loop)
1324 CODE:
1325{
1326 sv_setsv (e_fh (w), ST (1));
1327 RESET (embed, w, (w, loop));
1328}
1077 1329
1078void evdns_shutdown (int fail_requests = 1) 1330SV *other (ev_embed *w)
1331 CODE:
1332 RETVAL = newSVsv (e_fh (w));
1333 OUTPUT:
1334 RETVAL
1079 1335
1080const char *evdns_err_to_string (int err) 1336void ev_embed_sweep (ev_embed *w)
1337 C_ARGS: e_loop (w), w
1081 1338
1082int evdns_nameserver_add (U32 address) 1339MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1083 1340
1084int evdns_count_nameservers () 1341void ev_async_start (ev_async *w)
1342 CODE:
1343 START (async, w);
1085 1344
1086int evdns_clear_nameservers_and_suspend () 1345void ev_async_stop (ev_async *w)
1346 CODE:
1347 STOP (async, w);
1087 1348
1088int evdns_resume () 1349void DESTROY (ev_async *w)
1350 CODE:
1351 STOP (async, w);
1352 e_destroy (w);
1089 1353
1090int evdns_nameserver_ip_add (char *ip_as_string) 1354void ev_async_send (ev_async *w)
1355 C_ARGS: e_loop (w), w
1091 1356
1092int evdns_resolve_ipv4 (const char *name, int flags, SV *cb) 1357SV *ev_async_async_pending (ev_async *w)
1093 C_ARGS: name, flags, dns_cb, (void *)SvREFCNT_inc (cb)
1094
1095int evdns_resolve_ipv6 (const char *name, int flags, SV *cb)
1096 C_ARGS: name, flags, dns_cb, (void *)SvREFCNT_inc (cb)
1097
1098int evdns_resolve_reverse (SV *addr, int flags, SV *cb)
1099 ALIAS:
1100 evdns_resolve_reverse_ipv6 = 1
1101 CODE: 1358 CODE:
1102{ 1359 RETVAL = boolSV (ev_async_pending (w));
1103 STRLEN len; 1360 OUTPUT:
1104 char *data = SvPVbyte (addr, len);
1105 if (len != (ix ? 16 : 4))
1106 croak ("ipv4/ipv6 address to be resolved must be given as 4/16 byte octet string");
1107
1108 RETVAL = ix 1361 RETVAL
1109 ? evdns_resolve_reverse_ipv6 ((struct in6_addr *)data, flags, dns_cb, (void *)SvREFCNT_inc (cb))
1110 : evdns_resolve_reverse ((struct in_addr *)data, flags, dns_cb, (void *)SvREFCNT_inc (cb));
1111}
1112 OUTPUT:
1113 RETVAL
1114 1362
1115int evdns_set_option (char *option, char *val, int flags) 1363#ifndef EV_NO_LOOPS
1116 1364
1117int evdns_resolv_conf_parse (int flags, const char *filename) 1365MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1118 1366
1119#ifdef _WIN32 1367SV *new (SV *klass, unsigned int flags = 0)
1368 CODE:
1369{
1370 struct ev_loop *loop = ev_loop_new (flags);
1120 1371
1121int evdns_config_windows_nameservers () 1372 if (!loop)
1373 XSRETURN_UNDEF;
1374
1375 RETVAL = sv_bless (newRV_noinc (newSViv (PTR2IV (loop))), stash_loop);
1376}
1377 OUTPUT:
1378 RETVAL
1379
1380void DESTROY (struct ev_loop *loop)
1381 CODE:
1382 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1383 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1384 if (loop != evapi.default_loop)
1385 ev_loop_destroy (loop);
1386
1387void ev_loop_fork (struct ev_loop *loop)
1388
1389NV ev_now (struct ev_loop *loop)
1390
1391void ev_now_update (struct ev_loop *loop)
1392
1393void ev_suspend (struct ev_loop *loop)
1394
1395void ev_resume (struct ev_loop *loop)
1396
1397void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1398
1399void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1400
1401unsigned int ev_backend (struct ev_loop *loop)
1402
1403void ev_verify (struct ev_loop *loop)
1404 ALIAS:
1405 loop_verify = 1
1406
1407unsigned int ev_iteration (struct ev_loop *loop)
1408 ALIAS:
1409 loop_count = 1
1410
1411unsigned int ev_depth (struct ev_loop *loop)
1412 ALIAS:
1413 loop_depth = 1
1414
1415int ev_run (struct ev_loop *loop, int flags = 0)
1416 ALIAS:
1417 loop = 1
1418
1419void ev_break (struct ev_loop *loop, int how = 1)
1420 ALIAS:
1421 unloop = 1
1422
1423void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1424
1425unsigned int ev_pending_count (struct ev_loop *loop)
1426
1427void ev_invoke_pending (struct ev_loop *loop)
1428
1429#if 0
1430
1431void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1432 CODE:
1433{
1434 Signal signum = s_signum (signal);
1435 CHECK_SIG (signal, signum);
1436
1437 ev_feed_signal_event (loop, signum);
1438}
1122 1439
1123#endif 1440#endif
1124 1441
1125void evdns_search_clear () 1442ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1126 1443 ALIAS:
1127void evdns_search_add (char *domain) 1444 io_ns = 1
1128 1445 CODE:
1129void evdns_search_ndots_set (int ndots)
1130
1131#if 0
1132
1133MODULE = EV PACKAGE = EV::HTTP PREFIX = evhttp_
1134
1135BOOT:
1136{ 1446{
1137 HV *stash = gv_stashpv ("EV::HTTP", 1); 1447 int fd = s_fileno (fh, events & EV_WRITE);
1448 CHECK_FD (fh, fd);
1138 1449
1139 static const struct { 1450 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1140 const char *name; 1451 e_fh (RETVAL) = newSVsv (fh);
1141 IV iv; 1452 ev_io_set (RETVAL, fd, events);
1142 } *civ, const_iv[] = { 1453 if (!ix) START (io, RETVAL);
1143# define const_iv(pfx, name) { # name, (IV) pfx ## name },
1144 const_iv (HTTP_, OK)
1145 const_iv (HTTP_, NOCONTENT)
1146 const_iv (HTTP_, MOVEPERM)
1147 const_iv (HTTP_, MOVETEMP)
1148 const_iv (HTTP_, NOTMODIFIED)
1149 const_iv (HTTP_, BADREQUEST)
1150 const_iv (HTTP_, NOTFOUND)
1151 const_iv (HTTP_, SERVUNAVAIL)
1152 const_iv (EVHTTP_, REQ_OWN_CONNECTION)
1153 const_iv (EVHTTP_, PROXY_REQUEST)
1154 const_iv (EVHTTP_, REQ_GET)
1155 const_iv (EVHTTP_, REQ_POST)
1156 const_iv (EVHTTP_, REQ_HEAD)
1157 const_iv (EVHTTP_, REQUEST)
1158 const_iv (EVHTTP_, RESPONSE)
1159 };
1160
1161 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; )
1162 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv));
1163} 1454}
1455 OUTPUT:
1456 RETVAL
1164 1457
1165MODULE = EV PACKAGE = EV::HTTP::Request PREFIX = evhttp_request_ 1458ev_timer *timer (struct ev_loop *loop, NV after, NV repeat, SV *cb)
1459 ALIAS:
1460 timer_ns = 1
1461 INIT:
1462 CHECK_REPEAT (repeat);
1463 CODE:
1464 RETVAL = e_new (sizeof (ev_timer), cb, ST (0));
1465 ev_timer_set (RETVAL, after, repeat);
1466 if (!ix) START (timer, RETVAL);
1467 OUTPUT:
1468 RETVAL
1166 1469
1167#HttpRequest new (SV *klass, SV *cb) 1470SV *periodic (struct ev_loop *loop, NV at, NV interval, SV *reschedule_cb, SV *cb)
1471 ALIAS:
1472 periodic_ns = 1
1473 INIT:
1474 CHECK_REPEAT (interval);
1475 CODE:
1476{
1477 ev_periodic *w;
1478 w = e_new (sizeof (ev_periodic), cb, ST (0));
1479 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1480 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1481 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1482 if (!ix) START (periodic, w);
1483}
1484 OUTPUT:
1485 RETVAL
1168 1486
1169#void DESTROY (struct evhttp_request *req); 1487ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1488 ALIAS:
1489 signal_ns = 1
1490 CODE:
1491{
1492 Signal signum = s_signum (signal);
1493 CHECK_SIG (signal, signum);
1494
1495 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1496 ev_signal_set (RETVAL, signum);
1497 if (!ix) START_SIGNAL (RETVAL);
1498}
1499 OUTPUT:
1500 RETVAL
1501
1502ev_idle *idle (struct ev_loop *loop, SV *cb)
1503 ALIAS:
1504 idle_ns = 1
1505 CODE:
1506 RETVAL = e_new (sizeof (ev_idle), cb, ST (0));
1507 ev_idle_set (RETVAL);
1508 if (!ix) START (idle, RETVAL);
1509 OUTPUT:
1510 RETVAL
1511
1512ev_prepare *prepare (struct ev_loop *loop, SV *cb)
1513 ALIAS:
1514 prepare_ns = 1
1515 CODE:
1516 RETVAL = e_new (sizeof (ev_prepare), cb, ST (0));
1517 ev_prepare_set (RETVAL);
1518 if (!ix) START (prepare, RETVAL);
1519 OUTPUT:
1520 RETVAL
1521
1522ev_check *check (struct ev_loop *loop, SV *cb)
1523 ALIAS:
1524 check_ns = 1
1525 CODE:
1526 RETVAL = e_new (sizeof (ev_check), cb, ST (0));
1527 ev_check_set (RETVAL);
1528 if (!ix) START (check, RETVAL);
1529 OUTPUT:
1530 RETVAL
1531
1532ev_fork *fork (struct ev_loop *loop, SV *cb)
1533 ALIAS:
1534 fork_ns = 1
1535 CODE:
1536 RETVAL = e_new (sizeof (ev_fork), cb, ST (0));
1537 ev_fork_set (RETVAL);
1538 if (!ix) START (fork, RETVAL);
1539 OUTPUT:
1540 RETVAL
1541
1542#if CLEANUP_ENABLED
1543
1544ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1545 ALIAS:
1546 cleanup_ns = 1
1547 CODE:
1548 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1549 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1550 ev_cleanup_set (RETVAL);
1551 if (!ix) START (cleanup, RETVAL);
1552 OUTPUT:
1553 RETVAL
1170 1554
1171#endif 1555#endif
1172 1556
1557ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1558 ALIAS:
1559 child_ns = 1
1560 CODE:
1561#if EV_CHILD_ENABLE
1562 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1563 ev_child_set (RETVAL, pid, trace);
1564 if (!ix) START (child, RETVAL);
1565#else
1566 croak ("EV::child watchers not supported on this platform");
1173#endif 1567#endif
1568 OUTPUT:
1569 RETVAL
1174 1570
1571ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1572 ALIAS:
1573 stat_ns = 1
1574 CODE:
1575 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1576 e_fh (RETVAL) = newSVsv (path);
1577 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1578 if (!ix) START (stat, RETVAL);
1579 OUTPUT:
1580 RETVAL
1175 1581
1582ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1583 ALIAS:
1584 embed_ns = 1
1585 CODE:
1586{
1587 if (!(ev_backend (other) & ev_embeddable_backends ()))
1588 croak ("passed loop is not embeddable via EV::embed,");
1176 1589
1590 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1591 e_fh (RETVAL) = newSVsv (ST (1));
1592 ev_embed_set (RETVAL, other);
1593 if (!ix) START (embed, RETVAL);
1594}
1595 OUTPUT:
1596 RETVAL
1177 1597
1598ev_async *async (struct ev_loop *loop, SV *cb)
1599 ALIAS:
1600 async_ns = 1
1601 CODE:
1602 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1603 ev_async_set (RETVAL);
1604 if (!ix) START (async, RETVAL);
1605 OUTPUT:
1606 RETVAL
1178 1607
1608void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1609 CODE:
1610 ev_once (
1611 loop,
1612 s_fileno (fh, events & EV_WRITE), events,
1613 SvOK (timeout) ? SvNV (timeout) : -1.,
1614 e_once_cb,
1615 newSVsv (cb)
1616 );
1179 1617
1618#endif
1180 1619

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines