ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.85 by root, Sat Dec 1 22:51:34 2007 UTC vs.
Revision 1.175 by root, Sun Jul 7 05:46:01 2019 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/ 5/* fix perl api breakage */
6#ifndef WIN32
7# undef signal
8# undef sigaction
9#endif
6 10
11#include "schmorp.h"
12
13/* old API compatibility */
14static int
15sv_fileno (SV *fh)
16{
17 return s_fileno (fh, 0);
18}
19
20#ifndef GvCV_set
21# define GvCV_set(gv,cv) GvCV (gv) = cv
22#endif
23
24#if EV_ENABLE_ASSERTIONS
25# undef NDEBUG
26# include <assert.h>
27#endif
28
29#define EV_STANDALONE 1
7#define EV_PROTOTYPES 1 30#define EV_PROTOTYPES 1
31#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
32#define EV_USE_FLOOR 1
33#define EV_API_STATIC
34#define EV_H "../libev/ev.h"
35#define EV_CONFIG_H error
8#include "EV/EVAPI.h" 36#include "EV/EVAPI.h"
9
10/* fix perl api breakage */
11#undef signal
12#undef sigaction
13 37
14#define EV_SELECT_IS_WINSOCKET 0 38#define EV_SELECT_IS_WINSOCKET 0
15#ifdef _WIN32 39#ifdef _WIN32
16# define EV_SELECT_USE_FD_SET 0 40# define EV_SELECT_USE_FD_SET 0
17# define NFDBITS PERL_NFDBITS 41# define NFDBITS PERL_NFDBITS
18# define fd_mask Perl_fd_mask 42# define fd_mask Perl_fd_mask
19#endif 43#endif
20/* due to bugs in OS X we have to use libev/ explicitly here */ 44/* due to bugs in OS X we have to use libev/ explicitly here */
21#include "libev/ev.c" 45#include "libev/ev.c"
22#include "event.c"
23 46
24#ifndef _WIN32 47#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
25# include <pthread.h> 48# include <pthread.h>
26#endif 49#endif
27 50
51#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
52#define e_flags(w) ((ev_watcher *)(w))->e_flags
53#define e_self(w) ((ev_watcher *)(w))->self
54#define e_fh(w) ((ev_watcher *)(w))->fh
55#define e_data(w) ((ev_watcher *)(w))->data
56
28#define WFLAG_KEEPALIVE 1 57#define WFLAG_KEEPALIVE 1
58#define WFLAG_UNREFED 2 /* has been unref'ed */
29 59
30#define UNREF(w) \ 60#define UNREF(w) \
31 if (!((w)->flags & WFLAG_KEEPALIVE) \ 61 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
32 && !ev_is_active (w)) \ 62 && ev_is_active (w)) \
33 ev_unref (); 63 { \
64 ev_unref (e_loop (w)); \
65 e_flags (w) |= WFLAG_UNREFED; \
66 }
34 67
35#define REF(w) \ 68#define REF(w) \
36 if (!((w)->flags & WFLAG_KEEPALIVE) \ 69 if (e_flags (w) & WFLAG_UNREFED) \
37 && ev_is_active (w)) \ 70 { \
38 ev_ref (); 71 e_flags (w) &= ~WFLAG_UNREFED; \
72 ev_ref (e_loop (w)); \
73 }
39 74
40#define START(type,w) \ 75#define START(type,w) \
41 do { \ 76 do { \
77 ev_ ## type ## _start (e_loop (w), w); \
42 UNREF (w); \ 78 UNREF (w); \
43 ev_ ## type ## _start (w); \
44 } while (0) 79 } while (0)
45 80
46#define STOP(type,w) \ 81#define STOP(type,w) \
47 do { \ 82 do { \
48 REF (w); \ 83 REF (w); \
49 ev_ ## type ## _stop (w); \ 84 ev_ ## type ## _stop (e_loop (w), w); \
50 } while (0) 85 } while (0)
51 86
52#define RESET(type,w,seta) \ 87#define RESET(type,w,seta) \
53 do { \ 88 do { \
54 int active = ev_is_active (w); \ 89 int active = ev_is_active (w); \
55 if (active) STOP (type, w); \ 90 if (active) STOP (type, w); \
56 ev_ ## type ## _set seta; \ 91 ev_ ## type ## _set seta; \
57 if (active) START (type, w); \ 92 if (active) START (type, w); \
58 } while (0) 93 } while (0)
59 94
60typedef int Signal; 95typedef int Signal;
61 96
97/* horrible... */
98#define CHECK_SIGNAL_CAN_START(w) \
99 do { \
100 /* dive into the internals of libev to avoid aborting in libev */ \
101 if (signals [(w)->signum - 1].loop \
102 && signals [(w)->signum - 1].loop != e_loop (w)) \
103 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
104 } while (0)
105
106#define START_SIGNAL(w) \
107 do { \
108 CHECK_SIGNAL_CAN_START (w); \
109 START (signal, w); \
110 } while (0) \
111
112#define RESET_SIGNAL(w,seta) \
113 do { \
114 int active = ev_is_active (w); \
115 if (active) STOP (signal, w); \
116 ev_ ## signal ## _set seta; \
117 if (active) START_SIGNAL (w); \
118 } while (0)
119
120static SV *default_loop_sv;
121
62static struct EVAPI evapi; 122static struct EVAPI evapi;
63 123
64static HV 124static HV
125 *stash_loop,
65 *stash_watcher, 126 *stash_watcher,
66 *stash_io, 127 *stash_io,
67 *stash_timer, 128 *stash_timer,
68 *stash_periodic, 129 *stash_periodic,
69 *stash_signal, 130 *stash_signal,
71 *stash_stat, 132 *stash_stat,
72 *stash_idle, 133 *stash_idle,
73 *stash_prepare, 134 *stash_prepare,
74 *stash_check, 135 *stash_check,
75 *stash_embed, 136 *stash_embed,
76 *stash_fork; 137 *stash_fork,
77 138 *stash_cleanup,
78#ifndef SIG_SIZE 139 *stash_async;
79/* kudos to Slaven Rezic for the idea */
80static char sig_size [] = { SIG_NUM };
81# define SIG_SIZE (sizeof (sig_size) + 1)
82#endif
83
84static Signal
85sv_signum (SV *sig)
86{
87 Signal signum;
88
89 SvGETMAGIC (sig);
90
91 for (signum = 1; signum < SIG_SIZE; ++signum)
92 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
93 return signum;
94
95 signum = SvIV (sig);
96
97 if (signum > 0 && signum < SIG_SIZE)
98 return signum;
99
100 return -1;
101}
102 140
103///////////////////////////////////////////////////////////////////////////// 141/////////////////////////////////////////////////////////////////////////////
104// Event 142// Event
105 143
106static void e_cb (ev_watcher *w, int revents); 144static void e_cb (EV_P_ ev_watcher *w, int revents);
107
108static int
109sv_fileno (SV *fh)
110{
111 SvGETMAGIC (fh);
112
113 if (SvROK (fh))
114 fh = SvRV (fh);
115
116 if (SvTYPE (fh) == SVt_PVGV)
117 return PerlIO_fileno (IoIFP (sv_2io (fh)));
118
119 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
120 return SvIV (fh);
121
122 return -1;
123}
124 145
125static void * 146static void *
126e_new (int size, SV *cb_sv) 147e_new (int size, SV *cb_sv, SV *loop)
127{ 148{
149 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
128 ev_watcher *w; 150 ev_watcher *w;
129 SV *self = NEWSV (0, size); 151 SV *self = NEWSV (0, size);
130 SvPOK_only (self); 152 SvPOK_only (self);
131 SvCUR_set (self, size); 153 SvCUR_set (self, size);
132 154
133 w = (ev_watcher *)SvPVX (self); 155 w = (ev_watcher *)SvPVX (self);
134 156
135 ev_init (w, e_cb); 157 ev_init (w, cv ? e_cb : 0);
136 158
159 w->loop = SvREFCNT_inc (SvRV (loop));
137 w->flags = WFLAG_KEEPALIVE; 160 w->e_flags = WFLAG_KEEPALIVE;
138 w->data = 0; 161 w->data = 0;
139 w->fh = 0; 162 w->fh = 0;
140 w->cb_sv = newSVsv (cb_sv); 163 w->cb_sv = SvREFCNT_inc (cv);
141 w->self = self; 164 w->self = self;
142 165
143 return (void *)w; 166 return (void *)w;
144} 167}
145 168
146static void 169static void
147e_destroy (void *w_) 170e_destroy (void *w_)
148{ 171{
149 ev_watcher *w = (ev_watcher *)w_; 172 ev_watcher *w = (ev_watcher *)w_;
150 173
174 SvREFCNT_dec (w->loop ); w->loop = 0;
151 SvREFCNT_dec (w->fh ); w->fh = 0; 175 SvREFCNT_dec (w->fh ); w->fh = 0;
152 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0; 176 SvREFCNT_dec (w->cb_sv); w->cb_sv = 0;
153 SvREFCNT_dec (w->data ); w->data = 0; 177 SvREFCNT_dec (w->data ); w->data = 0;
154} 178}
155 179
168 } 192 }
169 193
170 return rv; 194 return rv;
171} 195}
172 196
173static SV *sv_events_cache; 197static SV *sv_self_cache, *sv_events_cache;
174 198
175static void 199static void
176e_cb (ev_watcher *w, int revents) 200e_cb (EV_P_ ev_watcher *w, int revents)
177{ 201{
178 dSP; 202 dSP;
179 I32 mark = SP - PL_stack_base; 203 I32 mark = SP - PL_stack_base;
180 SV *sv_self, *sv_events; 204 SV *sv_self, *sv_events;
181 205
206 /* libev might have stopped the watcher */
207 if (ecb_expect_false (w->e_flags & WFLAG_UNREFED)
208 && !ev_is_active (w))
209 REF (w);
210
211 if (ecb_expect_true (sv_self_cache))
212 {
213 sv_self = sv_self_cache; sv_self_cache = 0;
214 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
215 }
216 else
217 {
182 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 218 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
219 SvREADONLY_on (sv_self);
220 }
221
222 if (ecb_expect_true (sv_events_cache))
223 {
224 sv_events = sv_events_cache; sv_events_cache = 0;
225 SvIV_set (sv_events, revents);
226 SvIOK_only (sv_events);
227 }
228 else
229 {
230 sv_events = newSViv (revents);
231 SvREADONLY_on (sv_events);
232 }
233
234 PUSHMARK (SP);
235 EXTEND (SP, 2);
236 PUSHs (sv_self);
237 PUSHs (sv_events);
238
239 PUTBACK;
240 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
241
242 if (ecb_expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
243 SvREFCNT_dec (sv_self);
244 else
245 {
246 SvREFCNT_dec (SvRV (sv_self));
247 SvRV_set (sv_self, &PL_sv_undef);
248 sv_self_cache = sv_self;
249 }
250
251 if (ecb_expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
252 SvREFCNT_dec (sv_events);
253 else
254 sv_events_cache = sv_events;
255
256 if (ecb_expect_false (SvTRUE (ERRSV)))
257 {
258 SPAGAIN;
259 PUSHMARK (SP);
260 PUTBACK;
261 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
262 }
263
264 SP = PL_stack_base + mark;
265 PUTBACK;
266}
267
268static void
269e_once_cb (int revents, void *arg)
270{
271 dSP;
272 I32 mark = SP - PL_stack_base;
273 SV *sv_events;
183 274
184 if (sv_events_cache) 275 if (sv_events_cache)
185 { 276 {
186 sv_events = sv_events_cache; sv_events_cache = 0; 277 sv_events = sv_events_cache; sv_events_cache = 0;
187 SvIV_set (sv_events, revents); 278 SvIV_set (sv_events, revents);
188 } 279 }
189 else 280 else
190 sv_events = newSViv (revents); 281 sv_events = newSViv (revents);
191 282
192 PUSHMARK (SP); 283 PUSHMARK (SP);
193 EXTEND (SP, 2);
194 PUSHs (sv_self);
195 PUSHs (sv_events); 284 XPUSHs (sv_events);
196 285
197 PUTBACK; 286 PUTBACK;
198 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 287 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
199 288
200 SvREFCNT_dec (sv_self); 289 SvREFCNT_dec ((SV *)arg);
201 290
202 if (sv_events_cache) 291 if (sv_events_cache)
203 SvREFCNT_dec (sv_events); 292 SvREFCNT_dec (sv_events);
204 else 293 else
205 sv_events_cache = sv_events; 294 sv_events_cache = sv_events;
214 303
215 SP = PL_stack_base + mark; 304 SP = PL_stack_base + mark;
216 PUTBACK; 305 PUTBACK;
217} 306}
218 307
219static void
220e_once_cb (int revents, void *arg)
221{
222 dSP;
223 I32 mark = SP - PL_stack_base;
224 SV *sv_events;
225
226 if (sv_events_cache)
227 {
228 sv_events = sv_events_cache; sv_events_cache = 0;
229 SvIV_set (sv_events, revents);
230 }
231 else
232 sv_events = newSViv (revents);
233
234 PUSHMARK (SP);
235 XPUSHs (sv_events);
236
237 PUTBACK;
238 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
239
240 SvREFCNT_dec ((SV *)arg);
241
242 if (sv_events_cache)
243 SvREFCNT_dec (sv_events);
244 else
245 sv_events_cache = sv_events;
246
247 if (SvTRUE (ERRSV))
248 {
249 PUSHMARK (SP);
250 PUTBACK;
251 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
252 }
253
254 SP = PL_stack_base + mark;
255 PUTBACK;
256}
257
258static ev_tstamp 308static ev_tstamp
259e_periodic_cb (ev_periodic *w, ev_tstamp now) 309e_periodic_cb (ev_periodic *w, ev_tstamp now)
260{ 310{
261 ev_tstamp retval; 311 ev_tstamp retval;
262 int count; 312 int count;
265 ENTER; 315 ENTER;
266 SAVETMPS; 316 SAVETMPS;
267 317
268 PUSHMARK (SP); 318 PUSHMARK (SP);
269 EXTEND (SP, 2); 319 EXTEND (SP, 2);
270 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 320 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
271 PUSHs (newSVnv (now)); 321 PUSHs (newSVnv (now));
272 322
273 PUTBACK; 323 PUTBACK;
274 count = call_sv (w->fh, G_SCALAR | G_EVAL); 324 count = call_sv (w->fh, G_SCALAR | G_EVAL);
275 SPAGAIN; 325 SPAGAIN;
305 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 355 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
306 356
307#define CHECK_SIG(sv,num) if ((num) < 0) \ 357#define CHECK_SIG(sv,num) if ((num) < 0) \
308 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 358 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
309 359
360static void
361default_fork (void)
362{
363 ev_loop_fork (EV_DEFAULT_UC);
364}
365
310///////////////////////////////////////////////////////////////////////////// 366/////////////////////////////////////////////////////////////////////////////
311// XS interface functions 367// XS interface functions
312 368
313MODULE = EV PACKAGE = EV PREFIX = ev_ 369MODULE = EV PACKAGE = EV PREFIX = ev_
314 370
326 const_iv (EV_, MINPRI) 382 const_iv (EV_, MINPRI)
327 const_iv (EV_, MAXPRI) 383 const_iv (EV_, MAXPRI)
328 384
329 const_iv (EV_, UNDEF) 385 const_iv (EV_, UNDEF)
330 const_iv (EV_, NONE) 386 const_iv (EV_, NONE)
331 const_iv (EV_, TIMEOUT)
332 const_iv (EV_, READ) 387 const_iv (EV_, READ)
333 const_iv (EV_, WRITE) 388 const_iv (EV_, WRITE)
389 const_iv (EV_, IO)
390 const_iv (EV_, TIMER)
391 const_iv (EV_, PERIODIC)
334 const_iv (EV_, SIGNAL) 392 const_iv (EV_, SIGNAL)
393 const_iv (EV_, CHILD)
394 const_iv (EV_, STAT)
335 const_iv (EV_, IDLE) 395 const_iv (EV_, IDLE)
396 const_iv (EV_, PREPARE)
397 /*const_iv (EV_, CHECK) needs special tretament */
398 const_iv (EV_, EMBED)
336 const_iv (EV_, CHECK) 399 const_iv (EV_, FORK)
400 const_iv (EV_, CLEANUP)
401 const_iv (EV_, ASYNC)
402 const_iv (EV_, CUSTOM)
337 const_iv (EV_, ERROR) 403 const_iv (EV_, ERROR)
338 404
339 const_iv (EV, LOOP_ONESHOT) 405 const_iv (EV, RUN_NOWAIT)
340 const_iv (EV, LOOP_NONBLOCK)
341 const_iv (EV, UNLOOP_ONE) 406 const_iv (EV, RUN_ONCE)
407
408 const_iv (EV, BREAK_CANCEL)
409 const_iv (EV, BREAK_ONE)
342 const_iv (EV, UNLOOP_ALL) 410 const_iv (EV, BREAK_ALL)
343
344 const_iv (EV, BACKEND_SELECT) 411 const_iv (EV, BACKEND_SELECT)
345 const_iv (EV, BACKEND_POLL) 412 const_iv (EV, BACKEND_POLL)
346 const_iv (EV, BACKEND_EPOLL) 413 const_iv (EV, BACKEND_EPOLL)
347 const_iv (EV, BACKEND_KQUEUE) 414 const_iv (EV, BACKEND_KQUEUE)
348 const_iv (EV, BACKEND_DEVPOLL) 415 const_iv (EV, BACKEND_DEVPOLL)
349 const_iv (EV, BACKEND_PORT) 416 const_iv (EV, BACKEND_PORT)
417 const_iv (EV, BACKEND_ALL)
418 const_iv (EV, BACKEND_MASK)
350 const_iv (EV, FLAG_AUTO) 419 const_iv (EV, FLAG_AUTO)
420 const_iv (EV, FLAG_FORKCHECK)
421 const_iv (EV, FLAG_SIGNALFD)
422 const_iv (EV, FLAG_NOSIGMASK)
351 const_iv (EV, FLAG_NOENV) 423 const_iv (EV, FLAG_NOENV)
352 const_iv (EV, FLAG_FORKCHECK) 424 const_iv (EV, FLAG_NOINOTIFY)
425
426 const_iv (EV_, VERSION_MAJOR)
427 const_iv (EV_, VERSION_MINOR)
428#if EV_COMPAT3
429 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
430 const_iv (EV_, TIMEOUT)
431 const_iv (EV, LOOP_NONBLOCK)
432 const_iv (EV, LOOP_ONESHOT)
433 const_iv (EV, UNLOOP_CANCEL)
434 const_iv (EV, UNLOOP_ONE)
435 const_iv (EV, UNLOOP_ALL)
436#endif
353 }; 437 };
354 438
355 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 439 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ > const_iv; civ--)
356 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 440 newCONSTSUB (stash, (char *)civ[-1].name, newSViv (civ[-1].iv));
357 441
442 /* since this clashes with perl CHECK blocks, */
443 /* but we are interested in constants, */
444 /* and not blocks, we treat CHECK specially. */
445 {
446 /* the local $^W = 0 takes care of the warning */
447 CV *cv = newCONSTSUB (stash, "CHECK", newSViv (EV_CHECK));
448 /* now we need to re-set the gv, in case it was hijacked */
449 GvCV_set (gv_fetchpv ("EV::CHECK", GV_ADD, SVt_PVCV), cv);
450 }
451
452 stash_loop = gv_stashpv ("EV::Loop" , 1);
358 stash_watcher = gv_stashpv ("EV::Watcher" , 1); 453 stash_watcher = gv_stashpv ("EV::Watcher" , 1);
359 stash_io = gv_stashpv ("EV::IO" , 1); 454 stash_io = gv_stashpv ("EV::IO" , 1);
360 stash_timer = gv_stashpv ("EV::Timer" , 1); 455 stash_timer = gv_stashpv ("EV::Timer" , 1);
361 stash_periodic = gv_stashpv ("EV::Periodic", 1); 456 stash_periodic = gv_stashpv ("EV::Periodic", 1);
362 stash_signal = gv_stashpv ("EV::Signal" , 1); 457 stash_signal = gv_stashpv ("EV::Signal" , 1);
364 stash_prepare = gv_stashpv ("EV::Prepare" , 1); 459 stash_prepare = gv_stashpv ("EV::Prepare" , 1);
365 stash_check = gv_stashpv ("EV::Check" , 1); 460 stash_check = gv_stashpv ("EV::Check" , 1);
366 stash_child = gv_stashpv ("EV::Child" , 1); 461 stash_child = gv_stashpv ("EV::Child" , 1);
367 stash_embed = gv_stashpv ("EV::Embed" , 1); 462 stash_embed = gv_stashpv ("EV::Embed" , 1);
368 stash_stat = gv_stashpv ("EV::Stat" , 1); 463 stash_stat = gv_stashpv ("EV::Stat" , 1);
464 stash_fork = gv_stashpv ("EV::Fork" , 1);
465 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
466 stash_async = gv_stashpv ("EV::Async" , 1);
369 467
370 { 468 {
371 SV *sv = perl_get_sv ("EV::API", TRUE); 469 SV *sv = perl_get_sv ("EV::API", TRUE);
372 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 470 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
373 471
374 /* the poor man's shared library emulator */ 472 /* the poor man's shared library emulator */
375 evapi.ver = EV_API_VERSION; 473 evapi.ver = EV_API_VERSION;
376 evapi.rev = EV_API_REVISION; 474 evapi.rev = EV_API_REVISION;
377 evapi.sv_fileno = sv_fileno; 475 evapi.sv_fileno = sv_fileno;
378 evapi.sv_signum = sv_signum; 476 evapi.sv_signum = s_signum;
477 evapi.supported_backends = ev_supported_backends ();
478 evapi.recommended_backends = ev_recommended_backends ();
479 evapi.embeddable_backends = ev_embeddable_backends ();
480 evapi.time_ = ev_time;
481 evapi.sleep_ = ev_sleep;
482 evapi.loop_new = ev_loop_new;
483 evapi.loop_destroy = ev_loop_destroy;
484 evapi.loop_fork = ev_loop_fork;
485 evapi.iteration = ev_iteration;
486 evapi.depth = ev_depth;
487 evapi.set_userdata = ev_set_userdata;
488 evapi.userdata = ev_userdata;
379 evapi.now = ev_now; 489 evapi.now = ev_now;
490 evapi.now_update = ev_now_update;
491 evapi.suspend = ev_suspend;
492 evapi.resume = ev_resume;
380 evapi.backend = ev_backend; 493 evapi.backend = ev_backend;
381 evapi.unloop = ev_unloop; 494 evapi.break_ = ev_break;
495 evapi.invoke_pending = ev_invoke_pending;
496 evapi.pending_count = ev_pending_count;
497 evapi.verify = ev_verify;
498 evapi.set_loop_release_cb = ev_set_loop_release_cb;
499 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
382 evapi.ref = ev_ref; 500 evapi.ref = ev_ref;
383 evapi.unref = ev_unref; 501 evapi.unref = ev_unref;
384 evapi.time = ev_time; 502 evapi.run = ev_run;
385 evapi.loop = ev_loop;
386 evapi.once = ev_once; 503 evapi.once = ev_once;
387 evapi.io_start = ev_io_start; 504 evapi.io_start = ev_io_start;
388 evapi.io_stop = ev_io_stop; 505 evapi.io_stop = ev_io_stop;
389 evapi.timer_start = ev_timer_start; 506 evapi.timer_start = ev_timer_start;
390 evapi.timer_stop = ev_timer_stop; 507 evapi.timer_stop = ev_timer_stop;
391 evapi.timer_again = ev_timer_again; 508 evapi.timer_again = ev_timer_again;
509 evapi.timer_remaining = ev_timer_remaining;
392 evapi.periodic_start = ev_periodic_start; 510 evapi.periodic_start = ev_periodic_start;
393 evapi.periodic_stop = ev_periodic_stop; 511 evapi.periodic_stop = ev_periodic_stop;
394 evapi.signal_start = ev_signal_start; 512 evapi.signal_start = ev_signal_start;
395 evapi.signal_stop = ev_signal_stop; 513 evapi.signal_stop = ev_signal_stop;
396 evapi.idle_start = ev_idle_start; 514 evapi.idle_start = ev_idle_start;
397 evapi.idle_stop = ev_idle_stop; 515 evapi.idle_stop = ev_idle_stop;
398 evapi.prepare_start = ev_prepare_start; 516 evapi.prepare_start = ev_prepare_start;
399 evapi.prepare_stop = ev_prepare_stop; 517 evapi.prepare_stop = ev_prepare_stop;
400 evapi.check_start = ev_check_start; 518 evapi.check_start = ev_check_start;
401 evapi.check_stop = ev_check_stop; 519 evapi.check_stop = ev_check_stop;
520#if EV_CHILD_ENABLE
402 evapi.child_start = ev_child_start; 521 evapi.child_start = ev_child_start;
403 evapi.child_stop = ev_child_stop; 522 evapi.child_stop = ev_child_stop;
523#endif
404 evapi.stat_start = ev_stat_start; 524 evapi.stat_start = ev_stat_start;
405 evapi.stat_stop = ev_stat_stop; 525 evapi.stat_stop = ev_stat_stop;
406 evapi.stat_stat = ev_stat_stat; 526 evapi.stat_stat = ev_stat_stat;
527 evapi.embed_start = ev_embed_start;
528 evapi.embed_stop = ev_embed_stop;
529 evapi.embed_sweep = ev_embed_sweep;
530 evapi.fork_start = ev_fork_start;
531 evapi.fork_stop = ev_fork_stop;
532 evapi.cleanup_start = ev_cleanup_start;
533 evapi.cleanup_stop = ev_cleanup_stop;
534 evapi.async_start = ev_async_start;
535 evapi.async_stop = ev_async_stop;
536 evapi.async_send = ev_async_send;
537 evapi.clear_pending = ev_clear_pending;
538 evapi.invoke = ev_invoke;
407 539
408 sv_setiv (sv, (IV)&evapi); 540 sv_setiv (sv, (IV)&evapi);
409 SvREADONLY_on (sv); 541 SvREADONLY_on (sv);
410 } 542 }
411#ifndef _WIN32 543#if !defined _WIN32 && !defined _MINIX && !EV_NO_ATFORK
544/* unfortunately, musl neither implements the linux standard base,
545/* nor makes itself detectable via macros. yeah, right... */
546#if __linux && (__GLIBC__ || __UCLIBC__)
547 int __register_atfork(void (*prepare) (void), void (*parent) (void), void (*child) (void), void * __dso_handle);
548 __register_atfork (0, 0, default_fork, 0);
549#else
412 pthread_atfork (0, 0, ev_default_fork); 550 pthread_atfork (0, 0, default_fork);
413#endif 551#endif
552#endif
553}
554
555SV *ev_default_loop (unsigned int flags = 0)
556 CODE:
557{
558 if (!default_loop_sv)
559 {
560 evapi.default_loop = ev_default_loop (flags);
561
562 if (!evapi.default_loop)
563 XSRETURN_UNDEF;
564
565 default_loop_sv = sv_bless (newRV_noinc (newSViv (PTR2IV (evapi.default_loop))), stash_loop);
566 }
567
568 RETVAL = newSVsv (default_loop_sv);
569}
570 OUTPUT:
571 RETVAL
572
573void ev_default_destroy ()
574 CODE:
575 ev_loop_destroy (EV_DEFAULT_UC);
576 SvREFCNT_dec (default_loop_sv);
577 default_loop_sv = 0;
578
579unsigned int ev_supported_backends ()
580
581unsigned int ev_recommended_backends ()
582
583unsigned int ev_embeddable_backends ()
584
585void ev_sleep (NV interval)
586
587NV ev_time ()
588
589void ev_feed_signal (SV *signal)
590 CODE:
591{
592 Signal signum = s_signum (signal);
593 CHECK_SIG (signal, signum);
594
595 ev_feed_signal (signum);
414} 596}
415 597
416NV ev_now () 598NV ev_now ()
599 C_ARGS: evapi.default_loop
600
601void ev_now_update ()
602 C_ARGS: evapi.default_loop
603
604void ev_suspend ()
605 C_ARGS: evapi.default_loop
606
607void ev_resume ()
608 C_ARGS: evapi.default_loop
417 609
418unsigned int ev_backend () 610unsigned int ev_backend ()
611 C_ARGS: evapi.default_loop
419 612
420NV ev_time () 613void ev_verify ()
614 ALIAS:
615 loop_verify = 1
616 C_ARGS: evapi.default_loop
421 617
422unsigned int ev_default_loop (unsigned int flags = ev_supported_backends ()) 618unsigned int ev_iteration ()
619 ALIAS:
620 loop_count = 1
621 C_ARGS: evapi.default_loop
423 622
623unsigned int ev_depth ()
624 ALIAS:
625 loop_depth = 1
626 C_ARGS: evapi.default_loop
627
628void ev_set_io_collect_interval (NV interval)
629 C_ARGS: evapi.default_loop, interval
630
631void ev_set_timeout_collect_interval (NV interval)
632 C_ARGS: evapi.default_loop, interval
633
424void ev_loop (int flags = 0) 634int ev_run (int flags = 0)
635 ALIAS:
636 loop = 1
637 C_ARGS: evapi.default_loop, flags
425 638
426void ev_unloop (int how = 1) 639void ev_break (int how = EVBREAK_ONE)
640 ALIAS:
641 unloop = 1
642 C_ARGS: evapi.default_loop, how
643
644void ev_feed_fd_event (int fd, int revents = EV_NONE)
645 C_ARGS: evapi.default_loop, fd, revents
646
647void ev_feed_signal_event (SV *signal)
648 CODE:
649{
650 Signal signum = s_signum (signal);
651 CHECK_SIG (signal, signum);
652
653 ev_feed_signal_event (evapi.default_loop, signum);
654}
655
656unsigned int ev_pending_count ()
657 C_ARGS: evapi.default_loop
658
659void ev_invoke_pending ()
660 C_ARGS: evapi.default_loop
427 661
428ev_io *io (SV *fh, int events, SV *cb) 662ev_io *io (SV *fh, int events, SV *cb)
429 ALIAS: 663 ALIAS:
430 io_ns = 1 664 io_ns = 1
665 _ae_io = 2
431 CODE: 666 CODE:
432{ 667{
433 int fd = sv_fileno (fh); 668 int fd = s_fileno (fh, events & EV_WRITE);
434 CHECK_FD (fh, fd); 669 CHECK_FD (fh, fd);
435 670
671 if (ix == 2)
672 {
673 ix = 0;
674 events = events ? EV_WRITE : EV_READ;
675 }
676
436 RETVAL = e_new (sizeof (ev_io), cb); 677 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
437 RETVAL->fh = newSVsv (fh); 678 e_fh (RETVAL) = newSVsv (fh);
438 ev_io_set (RETVAL, fd, events); 679 ev_io_set (RETVAL, fd, events);
439 if (!ix) START (io, RETVAL); 680 if (!ix) START (io, RETVAL);
440} 681}
441 OUTPUT: 682 OUTPUT:
442 RETVAL 683 RETVAL
445 ALIAS: 686 ALIAS:
446 timer_ns = 1 687 timer_ns = 1
447 INIT: 688 INIT:
448 CHECK_REPEAT (repeat); 689 CHECK_REPEAT (repeat);
449 CODE: 690 CODE:
450 RETVAL = e_new (sizeof (ev_timer), cb); 691 RETVAL = e_new (sizeof (ev_timer), cb, default_loop_sv);
451 ev_timer_set (RETVAL, after, repeat); 692 ev_timer_set (RETVAL, after, repeat);
452 if (!ix) START (timer, RETVAL); 693 if (!ix) START (timer, RETVAL);
453 OUTPUT: 694 OUTPUT:
454 RETVAL 695 RETVAL
455 696
458 periodic_ns = 1 699 periodic_ns = 1
459 INIT: 700 INIT:
460 CHECK_REPEAT (interval); 701 CHECK_REPEAT (interval);
461 CODE: 702 CODE:
462{ 703{
463 ev_periodic *w; 704 ev_periodic *w;
464 w = e_new (sizeof (ev_periodic), cb); 705 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
465 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 706 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
466 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 707 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
467 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 708 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
468 if (!ix) START (periodic, w); 709 if (!ix) START (periodic, w);
469} 710}
470 OUTPUT: 711 OUTPUT:
471 RETVAL 712 RETVAL
473ev_signal *signal (SV *signal, SV *cb) 714ev_signal *signal (SV *signal, SV *cb)
474 ALIAS: 715 ALIAS:
475 signal_ns = 1 716 signal_ns = 1
476 CODE: 717 CODE:
477{ 718{
478 Signal signum = sv_signum (signal); 719 Signal signum = s_signum (signal);
479 CHECK_SIG (signal, signum); 720 CHECK_SIG (signal, signum);
480 721
481 RETVAL = e_new (sizeof (ev_signal), cb); 722 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
482 ev_signal_set (RETVAL, signum); 723 ev_signal_set (RETVAL, signum);
483 if (!ix) START (signal, RETVAL); 724 if (!ix) START_SIGNAL (RETVAL);
484} 725}
485 OUTPUT: 726 OUTPUT:
486 RETVAL 727 RETVAL
487 728
488ev_idle *idle (SV *cb) 729ev_idle *idle (SV *cb)
489 ALIAS: 730 ALIAS:
490 idle_ns = 1 731 idle_ns = 1
491 CODE: 732 CODE:
492 RETVAL = e_new (sizeof (ev_idle), cb); 733 RETVAL = e_new (sizeof (ev_idle), cb, default_loop_sv);
493 ev_idle_set (RETVAL); 734 ev_idle_set (RETVAL);
494 if (!ix) START (idle, RETVAL); 735 if (!ix) START (idle, RETVAL);
495 OUTPUT: 736 OUTPUT:
496 RETVAL 737 RETVAL
497 738
498ev_prepare *prepare (SV *cb) 739ev_prepare *prepare (SV *cb)
499 ALIAS: 740 ALIAS:
500 prepare_ns = 1 741 prepare_ns = 1
501 CODE: 742 CODE:
502 RETVAL = e_new (sizeof (ev_prepare), cb); 743 RETVAL = e_new (sizeof (ev_prepare), cb, default_loop_sv);
503 ev_prepare_set (RETVAL); 744 ev_prepare_set (RETVAL);
504 if (!ix) START (prepare, RETVAL); 745 if (!ix) START (prepare, RETVAL);
505 OUTPUT: 746 OUTPUT:
506 RETVAL 747 RETVAL
507 748
508ev_check *check (SV *cb) 749ev_check *check (SV *cb)
509 ALIAS: 750 ALIAS:
510 check_ns = 1 751 check_ns = 1
511 CODE: 752 CODE:
512 RETVAL = e_new (sizeof (ev_check), cb); 753 RETVAL = e_new (sizeof (ev_check), cb, default_loop_sv);
513 ev_check_set (RETVAL); 754 ev_check_set (RETVAL);
514 if (!ix) START (check, RETVAL); 755 if (!ix) START (check, RETVAL);
515 OUTPUT: 756 OUTPUT:
516 RETVAL 757 RETVAL
517 758
759ev_fork *fork (SV *cb)
760 ALIAS:
761 fork_ns = 1
762 CODE:
763 RETVAL = e_new (sizeof (ev_fork), cb, default_loop_sv);
764 ev_fork_set (RETVAL);
765 if (!ix) START (fork, RETVAL);
766 OUTPUT:
767 RETVAL
768
769#if CLEANUP_ENABLED
770
771ev_cleanup *cleanup (SV *cb)
772 ALIAS:
773 cleanup_ns = 1
774 CODE:
775 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
776 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
777 ev_cleanup_set (RETVAL);
778 if (!ix) START (cleanup, RETVAL);
779 OUTPUT:
780 RETVAL
781
782#endif
783
518ev_child *child (int pid, SV *cb) 784ev_child *child (int pid, int trace, SV *cb)
519 ALIAS: 785 ALIAS:
520 child_ns = 1 786 child_ns = 1
521 CODE: 787 CODE:
788#if EV_CHILD_ENABLE
522 RETVAL = e_new (sizeof (ev_child), cb); 789 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
523 ev_child_set (RETVAL, pid); 790 ev_child_set (RETVAL, pid, trace);
524 if (!ix) START (child, RETVAL); 791 if (!ix) START (child, RETVAL);
792#else
793 croak ("EV::child watchers not supported on this platform");
794#endif
525 OUTPUT: 795 OUTPUT:
526 RETVAL 796 RETVAL
797
527 798
528ev_stat *stat (SV *path, NV interval, SV *cb) 799ev_stat *stat (SV *path, NV interval, SV *cb)
529 ALIAS: 800 ALIAS:
530 stat_ns = 1 801 stat_ns = 1
531 CODE: 802 CODE:
532 RETVAL = e_new (sizeof (ev_stat), cb); 803 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
533 RETVAL->fh = newSVsv (path); 804 e_fh (RETVAL) = newSVsv (path);
534 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 805 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
535 if (!ix) START (stat, RETVAL); 806 if (!ix) START (stat, RETVAL);
536 OUTPUT: 807 OUTPUT:
537 RETVAL 808 RETVAL
538 809
810#ifndef EV_NO_LOOPS
811
812ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
813 ALIAS:
814 embed_ns = 1
815 CODE:
816{
817 if (!(ev_backend (loop) & ev_embeddable_backends ()))
818 croak ("passed loop is not embeddable via EV::embed,");
819
820 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
821 e_fh (RETVAL) = newSVsv (ST (0));
822 ev_embed_set (RETVAL, loop);
823 if (!ix) START (embed, RETVAL);
824}
825 OUTPUT:
826 RETVAL
827
828#endif
829
830ev_async *async (SV *cb)
831 ALIAS:
832 async_ns = 1
833 CODE:
834 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
835 ev_async_set (RETVAL);
836 if (!ix) START (async, RETVAL);
837 OUTPUT:
838 RETVAL
839
539void once (SV *fh, int events, SV *timeout, SV *cb) 840void once (SV *fh, int events, SV *timeout, SV *cb)
540 CODE: 841 CODE:
541 ev_once ( 842 ev_once (
843 evapi.default_loop,
542 sv_fileno (fh), events, 844 s_fileno (fh, events & EV_WRITE), events,
543 SvOK (timeout) ? SvNV (timeout) : -1., 845 SvOK (timeout) ? SvNV (timeout) : -1.,
544 e_once_cb, 846 e_once_cb,
545 newSVsv (cb) 847 newSVsv (cb)
546 ); 848 );
547 849
551 853
552int ev_is_active (ev_watcher *w) 854int ev_is_active (ev_watcher *w)
553 855
554int ev_is_pending (ev_watcher *w) 856int ev_is_pending (ev_watcher *w)
555 857
858void ev_invoke (ev_watcher *w, int revents = EV_NONE)
859 C_ARGS: e_loop (w), w, revents
860
861int ev_clear_pending (ev_watcher *w)
862 C_ARGS: e_loop (w), w
863
864void ev_feed_event (ev_watcher *w, int revents = EV_NONE)
865 C_ARGS: e_loop (w), w, revents
866
556int keepalive (ev_watcher *w, int new_value = 0) 867int keepalive (ev_watcher *w, int new_value = 0)
557 CODE: 868 CODE:
558{ 869{
559 RETVAL = w->flags & WFLAG_KEEPALIVE; 870 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
560 new_value = new_value ? WFLAG_KEEPALIVE : 0; 871 new_value = new_value ? WFLAG_KEEPALIVE : 0;
561 872
562 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 873 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
563 { 874 {
875 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
564 REF (w); 876 REF (w);
565 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value;
566 UNREF (w); 877 UNREF (w);
567 } 878 }
568} 879}
569 OUTPUT: 880 OUTPUT:
570 RETVAL 881 RETVAL
571 882
572SV *cb (ev_watcher *w, SV *new_cb = 0) 883SV *cb (ev_watcher *w, SV *new_cb = 0)
573 CODE: 884 CODE:
574{ 885{
575 RETVAL = newSVsv (w->cb_sv);
576
577 if (items > 1) 886 if (items > 1)
578 sv_setsv (w->cb_sv, new_cb); 887 {
888 new_cb = s_get_cv_croak (new_cb);
889 RETVAL = newRV_noinc (w->cb_sv);
890 w->cb_sv = SvREFCNT_inc (new_cb);
891 }
892 else
893 RETVAL = newRV_inc (w->cb_sv);
579} 894}
580 OUTPUT: 895 OUTPUT:
581 RETVAL 896 RETVAL
582 897
583SV *data (ev_watcher *w, SV *new_data = 0) 898SV *data (ev_watcher *w, SV *new_data = 0)
592 } 907 }
593} 908}
594 OUTPUT: 909 OUTPUT:
595 RETVAL 910 RETVAL
596 911
597void trigger (ev_watcher *w, int revents = EV_NONE) 912SV *loop (ev_watcher *w)
598 CODE: 913 CODE:
599 w->cb (w, revents); 914 RETVAL = newRV_inc (w->loop);
915 OUTPUT:
916 RETVAL
600 917
601int priority (ev_watcher *w, int new_priority = 0) 918int priority (ev_watcher *w, int new_priority = 0)
602 CODE: 919 CODE:
603{ 920{
604 RETVAL = w->priority; 921 RETVAL = w->priority;
605 922
606 if (items > 1) 923 if (items > 1)
607 { 924 {
608 int active = ev_is_active (w); 925 int active = ev_is_active (w);
609
610 if (new_priority < EV_MINPRI || new_priority > EV_MAXPRI)
611 croak ("watcher priority out of range, value must be between %d and %d, inclusive", EV_MINPRI, EV_MAXPRI);
612 926
613 if (active) 927 if (active)
614 { 928 {
615 /* grrr. */ 929 /* grrr. */
616 PUSHMARK (SP); 930 PUSHMARK (SP);
617 XPUSHs (ST (0)); 931 XPUSHs (ST (0));
932 PUTBACK;
618 call_method ("stop", G_DISCARD | G_VOID); 933 call_method ("stop", G_DISCARD | G_VOID);
619 } 934 }
620 935
621 ev_set_priority (w, new_priority); 936 ev_set_priority (w, new_priority);
622 937
623 if (active) 938 if (active)
624 { 939 {
625 PUSHMARK (SP); 940 PUSHMARK (SP);
626 XPUSHs (ST (0)); 941 XPUSHs (ST (0));
942 PUTBACK;
627 call_method ("start", G_DISCARD | G_VOID); 943 call_method ("start", G_DISCARD | G_VOID);
628 } 944 }
629 } 945 }
630} 946}
631 OUTPUT: 947 OUTPUT:
647 e_destroy (w); 963 e_destroy (w);
648 964
649void set (ev_io *w, SV *fh, int events) 965void set (ev_io *w, SV *fh, int events)
650 CODE: 966 CODE:
651{ 967{
652 int fd = sv_fileno (fh); 968 int fd = s_fileno (fh, events & EV_WRITE);
653 CHECK_FD (fh, fd); 969 CHECK_FD (fh, fd);
654 970
655 sv_setsv (w->fh, fh); 971 sv_setsv (e_fh (w), fh);
656 RESET (io, w, (w, fd, events)); 972 RESET (io, w, (w, fd, events));
657} 973}
658 974
659SV *fh (ev_io *w, SV *new_fh = 0) 975SV *fh (ev_io *w, SV *new_fh = 0)
660 CODE: 976 CODE:
661{ 977{
662 if (items > 1) 978 if (items > 1)
663 { 979 {
664 int fd = sv_fileno (new_fh); 980 int fd = s_fileno (new_fh, w->events & EV_WRITE);
665 CHECK_FD (new_fh, fd); 981 CHECK_FD (new_fh, fd);
666 982
667 RETVAL = w->fh; 983 RETVAL = e_fh (w);
668 w->fh = newSVsv (new_fh); 984 e_fh (w) = newSVsv (new_fh);
669 985
670 RESET (io, w, (w, fd, w->events)); 986 RESET (io, w, (w, fd, w->events));
671 } 987 }
672 else 988 else
673 RETVAL = newSVsv (w->fh); 989 RETVAL = newSVsv (e_fh (w));
674} 990}
675 OUTPUT: 991 OUTPUT:
676 RETVAL 992 RETVAL
677 993
678int events (ev_io *w, int new_events = EV_UNDEF) 994int events (ev_io *w, int new_events = EV_UNDEF)
688 1004
689MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 1005MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
690 1006
691void ev_signal_start (ev_signal *w) 1007void ev_signal_start (ev_signal *w)
692 CODE: 1008 CODE:
693 START (signal, w); 1009 START_SIGNAL (w);
694 1010
695void ev_signal_stop (ev_signal *w) 1011void ev_signal_stop (ev_signal *w)
696 CODE: 1012 CODE:
697 STOP (signal, w); 1013 STOP (signal, w);
698 1014
702 e_destroy (w); 1018 e_destroy (w);
703 1019
704void set (ev_signal *w, SV *signal) 1020void set (ev_signal *w, SV *signal)
705 CODE: 1021 CODE:
706{ 1022{
707 Signal signum = sv_signum (signal); 1023 Signal signum = s_signum (signal);
708 CHECK_SIG (signal, signum); 1024 CHECK_SIG (signal, signum);
709 1025
710 RESET (signal, w, (w, signum)); 1026 RESET_SIGNAL (w, (w, signum));
711} 1027}
712 1028
713int signal (ev_signal *w, SV *new_signal = 0) 1029int signal (ev_signal *w, SV *new_signal = 0)
714 CODE: 1030 CODE:
715{ 1031{
716 RETVAL = w->signum; 1032 RETVAL = w->signum;
717 1033
718 if (items > 1) 1034 if (items > 1)
719 { 1035 {
720 Signal signum = sv_signum (new_signal); 1036 Signal signum = s_signum (new_signal);
721 CHECK_SIG (new_signal, signum); 1037 CHECK_SIG (new_signal, signum);
722 1038
723 RESET (signal, w, (w, signum)); 1039 RESET_SIGNAL (w, (w, signum));
724 } 1040 }
725} 1041}
726 OUTPUT: 1042 OUTPUT:
727 RETVAL 1043 RETVAL
728 1044
736 1052
737void ev_timer_stop (ev_timer *w) 1053void ev_timer_stop (ev_timer *w)
738 CODE: 1054 CODE:
739 STOP (timer, w); 1055 STOP (timer, w);
740 1056
741void ev_timer_again (ev_timer *w) 1057void ev_timer_again (ev_timer *w, NV repeat = NO_INIT)
742 INIT: 1058 CODE:
1059 if (items > 1)
1060 w->repeat = repeat;
743 CHECK_REPEAT (w->repeat); 1061 CHECK_REPEAT (w->repeat);
744 CODE:
745 REF (w);
746 ev_timer_again (w); 1062 ev_timer_again (e_loop (w), w);
747 UNREF (w); 1063 UNREF (w);
1064
1065NV ev_timer_remaining (ev_timer *w)
1066 C_ARGS: e_loop (w), w
748 1067
749void DESTROY (ev_timer *w) 1068void DESTROY (ev_timer *w)
750 CODE: 1069 CODE:
751 STOP (timer, w); 1070 STOP (timer, w);
752 e_destroy (w); 1071 e_destroy (w);
769 CODE: 1088 CODE:
770 STOP (periodic, w); 1089 STOP (periodic, w);
771 1090
772void ev_periodic_again (ev_periodic *w) 1091void ev_periodic_again (ev_periodic *w)
773 CODE: 1092 CODE:
774 REF (w);
775 ev_periodic_again (w); 1093 ev_periodic_again (e_loop (w), w);
776 UNREF (w); 1094 UNREF (w);
777 1095
778void DESTROY (ev_periodic *w) 1096void DESTROY (ev_periodic *w)
779 CODE: 1097 CODE:
780 STOP (periodic, w); 1098 STOP (periodic, w);
783void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1101void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
784 INIT: 1102 INIT:
785 CHECK_REPEAT (interval); 1103 CHECK_REPEAT (interval);
786 CODE: 1104 CODE:
787{ 1105{
788 SvREFCNT_dec (w->fh); 1106 SvREFCNT_dec (e_fh (w));
789 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1107 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
790 1108
791 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1109 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
792} 1110}
1111
1112NV at (ev_periodic *w)
1113 CODE:
1114 RETVAL = ev_periodic_at (w);
1115 OUTPUT:
1116 RETVAL
793 1117
794MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1118MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
795 1119
796void ev_idle_start (ev_idle *w) 1120void ev_idle_start (ev_idle *w)
797 CODE: 1121 CODE:
804void DESTROY (ev_idle *w) 1128void DESTROY (ev_idle *w)
805 CODE: 1129 CODE:
806 STOP (idle, w); 1130 STOP (idle, w);
807 e_destroy (w); 1131 e_destroy (w);
808 1132
809MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_check_ 1133MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_prepare_
810 1134
811void ev_prepare_start (ev_prepare *w) 1135void ev_prepare_start (ev_prepare *w)
812 CODE: 1136 CODE:
813 START (prepare, w); 1137 START (prepare, w);
814 1138
834void DESTROY (ev_check *w) 1158void DESTROY (ev_check *w)
835 CODE: 1159 CODE:
836 STOP (check, w); 1160 STOP (check, w);
837 e_destroy (w); 1161 e_destroy (w);
838 1162
1163MODULE = EV PACKAGE = EV::Fork PREFIX = ev_fork_
1164
1165void ev_fork_start (ev_fork *w)
1166 CODE:
1167 START (fork, w);
1168
1169void ev_fork_stop (ev_fork *w)
1170 CODE:
1171 STOP (fork, w);
1172
1173void DESTROY (ev_fork *w)
1174 CODE:
1175 STOP (fork, w);
1176 e_destroy (w);
1177
1178#if CLEANUP_ENABLED
1179
1180MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1181
1182void ev_cleanup_start (ev_cleanup *w)
1183 CODE:
1184 START (cleanup, w);
1185
1186void ev_cleanup_stop (ev_cleanup *w)
1187 CODE:
1188 STOP (cleanup, w);
1189
1190void DESTROY (ev_cleanup *w)
1191 CODE:
1192 STOP (cleanup, w);
1193 SvREFCNT_inc (w->loop); /* has been dec'ed on creation */
1194 e_destroy (w);
1195
1196int keepalive (ev_watcher *w, SV *new_value = 0)
1197 CODE:
1198 RETVAL = 1;
1199 OUTPUT:
1200 RETVAL
1201
1202#endif
1203
839MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1204MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1205
1206#if EV_CHILD_ENABLE
840 1207
841void ev_child_start (ev_child *w) 1208void ev_child_start (ev_child *w)
842 CODE: 1209 CODE:
843 START (child, w); 1210 START (child, w);
844 1211
849void DESTROY (ev_child *w) 1216void DESTROY (ev_child *w)
850 CODE: 1217 CODE:
851 STOP (child, w); 1218 STOP (child, w);
852 e_destroy (w); 1219 e_destroy (w);
853 1220
854void set (ev_child *w, int pid) 1221void set (ev_child *w, int pid, int trace)
855 CODE: 1222 CODE:
856 RESET (child, w, (w, pid)); 1223 RESET (child, w, (w, pid, trace));
857 1224
858int pid (ev_child *w, int new_pid = 0)
859 CODE:
860{
861 RETVAL = w->pid;
862
863 if (items > 1)
864 RESET (child, w, (w, new_pid));
865}
866 OUTPUT:
867 RETVAL
868
869
870int rstatus (ev_child *w) 1225int pid (ev_child *w)
871 ALIAS: 1226 ALIAS:
872 rpid = 1 1227 rpid = 1
1228 rstatus = 2
873 CODE: 1229 CODE:
874 RETVAL = ix ? w->rpid : w->rstatus; 1230 RETVAL = ix == 0 ? w->pid
1231 : ix == 1 ? w->rpid
1232 : w->rstatus;
875 OUTPUT: 1233 OUTPUT:
876 RETVAL 1234 RETVAL
1235
1236#endif
877 1237
878MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1238MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
879 1239
880void ev_stat_start (ev_stat *w) 1240void ev_stat_start (ev_stat *w)
881 CODE: 1241 CODE:
891 e_destroy (w); 1251 e_destroy (w);
892 1252
893void set (ev_stat *w, SV *path, NV interval) 1253void set (ev_stat *w, SV *path, NV interval)
894 CODE: 1254 CODE:
895{ 1255{
896 sv_setsv (w->fh, path); 1256 sv_setsv (e_fh (w), path);
897 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1257 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
898} 1258}
899 1259
900SV *path (ev_stat *w, SV *new_path = 0) 1260SV *path (ev_stat *w, SV *new_path = 0)
901 CODE: 1261 CODE:
902{ 1262{
903 RETVAL = SvREFCNT_inc (w->fh); 1263 RETVAL = SvREFCNT_inc (e_fh (w));
904 1264
905 if (items > 1) 1265 if (items > 1)
906 { 1266 {
907 SvREFCNT_dec (w->fh); 1267 SvREFCNT_dec (e_fh (w));
908 w->fh = newSVsv (new_path); 1268 e_fh (w) = newSVsv (new_path);
909 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1269 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
910 } 1270 }
911} 1271}
912 OUTPUT: 1272 OUTPUT:
913 RETVAL 1273 RETVAL
914 1274
916 CODE: 1276 CODE:
917{ 1277{
918 RETVAL = w->interval; 1278 RETVAL = w->interval;
919 1279
920 if (items > 1) 1280 if (items > 1)
921 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1281 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
922} 1282}
923 OUTPUT: 1283 OUTPUT:
924 RETVAL 1284 RETVAL
925 1285
926void prev (ev_stat *w) 1286void prev (ev_stat *w)
930 PPCODE: 1290 PPCODE:
931{ 1291{
932 ev_statdata *s = ix ? &w->attr : &w->prev; 1292 ev_statdata *s = ix ? &w->attr : &w->prev;
933 1293
934 if (ix == 1) 1294 if (ix == 1)
935 ev_stat_stat (w); 1295 ev_stat_stat (e_loop (w), w);
936 else if (!s->st_nlink) 1296 else if (!s->st_nlink)
937 errno = ENOENT; 1297 errno = ENOENT;
938 1298
939 PL_statcache.st_dev = s->st_nlink; 1299 PL_statcache.st_dev = s->st_nlink;
940 PL_statcache.st_ino = s->st_ino; 1300 PL_statcache.st_ino = s->st_ino;
967 PUSHs (sv_2mortal (newSVuv (4096))); 1327 PUSHs (sv_2mortal (newSVuv (4096)));
968 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096)))); 1328 PUSHs (sv_2mortal (newSVnv ((NV)((s->st_size + 4095) / 4096))));
969 } 1329 }
970} 1330}
971 1331
1332MODULE = EV PACKAGE = EV::Embed PREFIX = ev_embed_
1333
1334void ev_embed_start (ev_embed *w)
1335 CODE:
1336 START (embed, w);
1337
1338void ev_embed_stop (ev_embed *w)
1339 CODE:
1340 STOP (embed, w);
1341
1342void DESTROY (ev_embed *w)
1343 CODE:
1344 STOP (embed, w);
1345 e_destroy (w);
1346
1347void set (ev_embed *w, struct ev_loop *loop)
1348 CODE:
1349{
1350 sv_setsv (e_fh (w), ST (1));
1351 RESET (embed, w, (w, loop));
1352}
1353
1354SV *other (ev_embed *w)
1355 CODE:
1356 RETVAL = newSVsv (e_fh (w));
1357 OUTPUT:
1358 RETVAL
1359
1360void ev_embed_sweep (ev_embed *w)
1361 C_ARGS: e_loop (w), w
1362
1363MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1364
1365void ev_async_start (ev_async *w)
1366 CODE:
1367 START (async, w);
1368
1369void ev_async_stop (ev_async *w)
1370 CODE:
1371 STOP (async, w);
1372
1373void DESTROY (ev_async *w)
1374 CODE:
1375 STOP (async, w);
1376 e_destroy (w);
1377
1378void ev_async_send (ev_async *w)
1379 C_ARGS: e_loop (w), w
1380
1381SV *ev_async_async_pending (ev_async *w)
1382 CODE:
1383 RETVAL = boolSV (ev_async_pending (w));
1384 OUTPUT:
1385 RETVAL
1386
1387#ifndef EV_NO_LOOPS
1388
1389MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1390
1391SV *new (SV *klass, unsigned int flags = 0)
1392 CODE:
1393{
1394 struct ev_loop *loop = ev_loop_new (flags);
1395
1396 if (!loop)
1397 XSRETURN_UNDEF;
1398
1399 RETVAL = sv_bless (newRV_noinc (newSViv (PTR2IV (loop))), stash_loop);
1400}
1401 OUTPUT:
1402 RETVAL
1403
1404void DESTROY (struct ev_loop *loop)
1405 CODE:
1406 /* 1. the default loop shouldn't be freed by destroying it's perl loop object */
1407 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1408 if (loop != evapi.default_loop)
1409 ev_loop_destroy (loop);
1410
1411void ev_loop_fork (struct ev_loop *loop)
1412
1413NV ev_now (struct ev_loop *loop)
1414
1415void ev_now_update (struct ev_loop *loop)
1416
1417void ev_suspend (struct ev_loop *loop)
1418
1419void ev_resume (struct ev_loop *loop)
1420
1421void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1422
1423void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1424
1425unsigned int ev_backend (struct ev_loop *loop)
1426
1427void ev_verify (struct ev_loop *loop)
1428 ALIAS:
1429 loop_verify = 1
1430
1431unsigned int ev_iteration (struct ev_loop *loop)
1432 ALIAS:
1433 loop_count = 1
1434
1435unsigned int ev_depth (struct ev_loop *loop)
1436 ALIAS:
1437 loop_depth = 1
1438
1439int ev_run (struct ev_loop *loop, int flags = 0)
1440 ALIAS:
1441 loop = 1
1442
1443void ev_break (struct ev_loop *loop, int how = 1)
1444 ALIAS:
1445 unloop = 1
1446
1447void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1448
1449unsigned int ev_pending_count (struct ev_loop *loop)
1450
1451void ev_invoke_pending (struct ev_loop *loop)
1452
972#if 0 1453#if 0
973 1454
974MODULE = EV PACKAGE = EV::HTTP PREFIX = evhttp_ 1455void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
975 1456 CODE:
976BOOT:
977{ 1457{
978 HV *stash = gv_stashpv ("EV::HTTP", 1); 1458 Signal signum = s_signum (signal);
1459 CHECK_SIG (signal, signum);
979 1460
980 static const struct { 1461 ev_feed_signal_event (loop, signum);
981 const char *name;
982 IV iv;
983 } *civ, const_iv[] = {
984# define const_iv(pfx, name) { # name, (IV) pfx ## name },
985 const_iv (HTTP_, OK)
986 const_iv (HTTP_, NOCONTENT)
987 const_iv (HTTP_, MOVEPERM)
988 const_iv (HTTP_, MOVETEMP)
989 const_iv (HTTP_, NOTMODIFIED)
990 const_iv (HTTP_, BADREQUEST)
991 const_iv (HTTP_, NOTFOUND)
992 const_iv (HTTP_, SERVUNAVAIL)
993 const_iv (EVHTTP_, REQ_OWN_CONNECTION)
994 const_iv (EVHTTP_, PROXY_REQUEST)
995 const_iv (EVHTTP_, REQ_GET)
996 const_iv (EVHTTP_, REQ_POST)
997 const_iv (EVHTTP_, REQ_HEAD)
998 const_iv (EVHTTP_, REQUEST)
999 const_iv (EVHTTP_, RESPONSE)
1000 };
1001
1002 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; )
1003 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv));
1004} 1462}
1005 1463
1006MODULE = EV PACKAGE = EV::HTTP::Request PREFIX = evhttp_request_
1007
1008#HttpRequest new (SV *klass, SV *cb)
1009
1010#void DESTROY (struct evhttp_request *req);
1011
1012#endif 1464#endif
1013 1465
1466ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1467 ALIAS:
1468 io_ns = 1
1469 CODE:
1470{
1471 int fd = s_fileno (fh, events & EV_WRITE);
1472 CHECK_FD (fh, fd);
1014 1473
1474 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1475 e_fh (RETVAL) = newSVsv (fh);
1476 ev_io_set (RETVAL, fd, events);
1477 if (!ix) START (io, RETVAL);
1478}
1479 OUTPUT:
1480 RETVAL
1015 1481
1482ev_timer *timer (struct ev_loop *loop, NV after, NV repeat, SV *cb)
1483 ALIAS:
1484 timer_ns = 1
1485 INIT:
1486 CHECK_REPEAT (repeat);
1487 CODE:
1488 RETVAL = e_new (sizeof (ev_timer), cb, ST (0));
1489 ev_timer_set (RETVAL, after, repeat);
1490 if (!ix) START (timer, RETVAL);
1491 OUTPUT:
1492 RETVAL
1016 1493
1494SV *periodic (struct ev_loop *loop, NV at, NV interval, SV *reschedule_cb, SV *cb)
1495 ALIAS:
1496 periodic_ns = 1
1497 INIT:
1498 CHECK_REPEAT (interval);
1499 CODE:
1500{
1501 ev_periodic *w;
1502 w = e_new (sizeof (ev_periodic), cb, ST (0));
1503 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1504 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1505 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1506 if (!ix) START (periodic, w);
1507}
1508 OUTPUT:
1509 RETVAL
1017 1510
1511ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1512 ALIAS:
1513 signal_ns = 1
1514 CODE:
1515{
1516 Signal signum = s_signum (signal);
1517 CHECK_SIG (signal, signum);
1018 1518
1519 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1520 ev_signal_set (RETVAL, signum);
1521 if (!ix) START_SIGNAL (RETVAL);
1522}
1523 OUTPUT:
1524 RETVAL
1019 1525
1526ev_idle *idle (struct ev_loop *loop, SV *cb)
1527 ALIAS:
1528 idle_ns = 1
1529 CODE:
1530 RETVAL = e_new (sizeof (ev_idle), cb, ST (0));
1531 ev_idle_set (RETVAL);
1532 if (!ix) START (idle, RETVAL);
1533 OUTPUT:
1534 RETVAL
1020 1535
1536ev_prepare *prepare (struct ev_loop *loop, SV *cb)
1537 ALIAS:
1538 prepare_ns = 1
1539 CODE:
1540 RETVAL = e_new (sizeof (ev_prepare), cb, ST (0));
1541 ev_prepare_set (RETVAL);
1542 if (!ix) START (prepare, RETVAL);
1543 OUTPUT:
1544 RETVAL
1545
1546ev_check *check (struct ev_loop *loop, SV *cb)
1547 ALIAS:
1548 check_ns = 1
1549 CODE:
1550 RETVAL = e_new (sizeof (ev_check), cb, ST (0));
1551 ev_check_set (RETVAL);
1552 if (!ix) START (check, RETVAL);
1553 OUTPUT:
1554 RETVAL
1555
1556ev_fork *fork (struct ev_loop *loop, SV *cb)
1557 ALIAS:
1558 fork_ns = 1
1559 CODE:
1560 RETVAL = e_new (sizeof (ev_fork), cb, ST (0));
1561 ev_fork_set (RETVAL);
1562 if (!ix) START (fork, RETVAL);
1563 OUTPUT:
1564 RETVAL
1565
1566#if CLEANUP_ENABLED
1567
1568ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1569 ALIAS:
1570 cleanup_ns = 1
1571 CODE:
1572 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1573 SvREFCNT_dec (RETVAL->loop); /* must not keep loop object alive */
1574 ev_cleanup_set (RETVAL);
1575 if (!ix) START (cleanup, RETVAL);
1576 OUTPUT:
1577 RETVAL
1578
1579#endif
1580
1581ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1582 ALIAS:
1583 child_ns = 1
1584 CODE:
1585#if EV_CHILD_ENABLE
1586 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1587 ev_child_set (RETVAL, pid, trace);
1588 if (!ix) START (child, RETVAL);
1589#else
1590 croak ("EV::child watchers not supported on this platform");
1591#endif
1592 OUTPUT:
1593 RETVAL
1594
1595ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1596 ALIAS:
1597 stat_ns = 1
1598 CODE:
1599 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1600 e_fh (RETVAL) = newSVsv (path);
1601 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1602 if (!ix) START (stat, RETVAL);
1603 OUTPUT:
1604 RETVAL
1605
1606ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1607 ALIAS:
1608 embed_ns = 1
1609 CODE:
1610{
1611 if (!(ev_backend (other) & ev_embeddable_backends ()))
1612 croak ("passed loop is not embeddable via EV::embed,");
1613
1614 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1615 e_fh (RETVAL) = newSVsv (ST (1));
1616 ev_embed_set (RETVAL, other);
1617 if (!ix) START (embed, RETVAL);
1618}
1619 OUTPUT:
1620 RETVAL
1621
1622ev_async *async (struct ev_loop *loop, SV *cb)
1623 ALIAS:
1624 async_ns = 1
1625 CODE:
1626 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1627 ev_async_set (RETVAL);
1628 if (!ix) START (async, RETVAL);
1629 OUTPUT:
1630 RETVAL
1631
1632void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1633 CODE:
1634 ev_once (
1635 loop,
1636 s_fileno (fh, events & EV_WRITE), events,
1637 SvOK (timeout) ? SvNV (timeout) : -1.,
1638 e_once_cb,
1639 newSVsv (cb)
1640 );
1641
1642#endif
1643

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines