ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/EV/EV.xs
(Generate patch)

Comparing EV/EV.xs (file contents):
Revision 1.93 by root, Thu Dec 20 09:26:40 2007 UTC vs.
Revision 1.153 by root, Mon Jan 10 01:59:41 2011 UTC

1#include "EXTERN.h" 1#include "EXTERN.h"
2#include "perl.h" 2#include "perl.h"
3#include "XSUB.h" 3#include "XSUB.h"
4 4
5/*#include <netinet/in.h>*/
6
7#define EV_PROTOTYPES 1
8#define EV_H <ev.h>
9#include "EV/EVAPI.h"
10
11/* fix perl api breakage */ 5/* fix perl api breakage */
12#undef signal 6#undef signal
13#undef sigaction 7#undef sigaction
8
9#include "schmorp.h"
10
11/* old API compatibility */
12static int
13sv_fileno (SV *fh)
14{
15 return s_fileno (fh, 0);
16}
17
18#define EV_STANDALONE 1
19#define EV_PROTOTYPES 1
20#define EV_USE_CLOCK_SYSCALL 0 /* as long as we need pthreads anyways... */
21#define EV_USE_NANOSLEEP EV_USE_MONOTONIC
22#define EV_H <ev.h>
23#define EV_CONFIG_H error
24#include "EV/EVAPI.h"
14 25
15#define EV_SELECT_IS_WINSOCKET 0 26#define EV_SELECT_IS_WINSOCKET 0
16#ifdef _WIN32 27#ifdef _WIN32
17# define EV_SELECT_USE_FD_SET 0 28# define EV_SELECT_USE_FD_SET 0
18# define NFDBITS PERL_NFDBITS 29# define NFDBITS PERL_NFDBITS
19# define fd_mask Perl_fd_mask 30# define fd_mask Perl_fd_mask
20#endif 31#endif
21/* due to bugs in OS X we have to use libev/ explicitly here */ 32/* due to bugs in OS X we have to use libev/ explicitly here */
22#include "libev/ev.c" 33#include "libev/ev.c"
23 34
24#ifndef _WIN32 35#if !defined(_WIN32) && !defined(_MINIX)
25# include <pthread.h> 36# include <pthread.h>
26#endif 37#endif
27 38
28#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX ((w)->loop)) 39#define e_loop(w) INT2PTR (struct ev_loop *, SvIVX (((ev_watcher *)(w))->loop))
40#define e_flags(w) ((ev_watcher *)(w))->e_flags
41#define e_self(w) ((ev_watcher *)(w))->self
42#define e_fh(w) ((ev_watcher *)(w))->fh
43#define e_data(w) ((ev_watcher *)(w))->data
29 44
30#define WFLAG_KEEPALIVE 1 45#define WFLAG_KEEPALIVE 1
46#define WFLAG_UNREFED 2 /* has been unref'ed */
31 47
32#define UNREF(w) \ 48#define UNREF(w) \
33 if (!((w)->flags & WFLAG_KEEPALIVE) \ 49 if (!(e_flags (w) & (WFLAG_KEEPALIVE | WFLAG_UNREFED)) \
34 && !ev_is_active (w)) \ 50 && ev_is_active (w)) \
51 { \
35 ev_unref (e_loop (w)); 52 ev_unref (e_loop (w)); \
53 e_flags (w) |= WFLAG_UNREFED; \
54 }
36 55
37#define REF(w) \ 56#define REF(w) \
38 if (!((w)->flags & WFLAG_KEEPALIVE) \ 57 if (e_flags (w) & WFLAG_UNREFED) \
39 && ev_is_active (w)) \ 58 { \
59 e_flags (w) &= ~WFLAG_UNREFED; \
40 ev_ref (e_loop (w)); 60 ev_ref (e_loop (w)); \
61 }
41 62
42#define START(type,w) \ 63#define START(type,w) \
43 do { \ 64 do { \
65 ev_ ## type ## _start (e_loop (w), w); \
44 UNREF (w); \ 66 UNREF (w); \
45 ev_ ## type ## _start (e_loop (w), w); \
46 } while (0) 67 } while (0)
47 68
48#define STOP(type,w) \ 69#define STOP(type,w) \
49 do { \ 70 do { \
50 REF (w); \ 71 REF (w); \
51 ev_ ## type ## _stop (e_loop (w), w); \ 72 ev_ ## type ## _stop (e_loop (w), w); \
52 } while (0) 73 } while (0)
53 74
54#define RESET(type,w,seta) \ 75#define RESET(type,w,seta) \
55 do { \ 76 do { \
56 int active = ev_is_active (w); \ 77 int active = ev_is_active (w); \
57 if (active) STOP (type, w); \ 78 if (active) STOP (type, w); \
58 ev_ ## type ## _set seta; \ 79 ev_ ## type ## _set seta; \
59 if (active) START (type, w); \ 80 if (active) START (type, w); \
60 } while (0) 81 } while (0)
61 82
62typedef int Signal; 83typedef int Signal;
84
85/* horrible... */
86#define CHECK_SIGNAL_CAN_START(w) \
87 do { \
88 /* dive into the internals of libev to avoid aborting in libev */ \
89 if (signals [(w)->signum - 1].loop \
90 && signals [(w)->signum - 1].loop != e_loop (w)) \
91 croak ("unable to start signal watcher, signal %d already registered in another loop", w->signum); \
92 } while (0)
93
94#define START_SIGNAL(w) \
95 do { \
96 CHECK_SIGNAL_CAN_START (w); \
97 START (signal, w); \
98 } while (0) \
99
100#define RESET_SIGNAL(w,seta) \
101 do { \
102 int active = ev_is_active (w); \
103 if (active) STOP (signal, w); \
104 ev_ ## signal ## _set seta; \
105 if (active) START_SIGNAL (w); \
106 } while (0)
63 107
64static SV *default_loop_sv; 108static SV *default_loop_sv;
65 109
66static struct EVAPI evapi; 110static struct EVAPI evapi;
67 111
76 *stash_stat, 120 *stash_stat,
77 *stash_idle, 121 *stash_idle,
78 *stash_prepare, 122 *stash_prepare,
79 *stash_check, 123 *stash_check,
80 *stash_embed, 124 *stash_embed,
81 *stash_fork; 125 *stash_fork,
82 126 *stash_cleanup,
83#ifndef SIG_SIZE 127 *stash_async;
84/* kudos to Slaven Rezic for the idea */
85static char sig_size [] = { SIG_NUM };
86# define SIG_SIZE (sizeof (sig_size) + 1)
87#endif
88
89static Signal
90sv_signum (SV *sig)
91{
92 Signal signum;
93
94 SvGETMAGIC (sig);
95
96 for (signum = 1; signum < SIG_SIZE; ++signum)
97 if (strEQ (SvPV_nolen (sig), PL_sig_name [signum]))
98 return signum;
99
100 signum = SvIV (sig);
101
102 if (signum > 0 && signum < SIG_SIZE)
103 return signum;
104
105 return -1;
106}
107 128
108///////////////////////////////////////////////////////////////////////////// 129/////////////////////////////////////////////////////////////////////////////
109// Event 130// Event
110 131
111static void e_cb (EV_P_ ev_watcher *w, int revents); 132static void e_cb (EV_P_ ev_watcher *w, int revents);
112 133
113static int 134void *
114sv_fileno (SV *fh)
115{
116 SvGETMAGIC (fh);
117
118 if (SvROK (fh))
119 fh = SvRV (fh);
120
121 if (SvTYPE (fh) == SVt_PVGV)
122 return PerlIO_fileno (IoIFP (sv_2io (fh)));
123
124 if (SvOK (fh) && (SvIV (fh) >= 0) && (SvIV (fh) < 0x7fffffffL))
125 return SvIV (fh);
126
127 return -1;
128}
129
130static void *
131e_new (int size, SV *cb_sv, SV *loop) 135e_new (int size, SV *cb_sv, SV *loop)
132{ 136{
137 SV *cv = cb_sv ? s_get_cv_croak (cb_sv) : 0;
133 ev_watcher *w; 138 ev_watcher *w;
134 SV *self = NEWSV (0, size); 139 SV *self = NEWSV (0, size);
135 SvPOK_only (self); 140 SvPOK_only (self);
136 SvCUR_set (self, size); 141 SvCUR_set (self, size);
137 142
138 w = (ev_watcher *)SvPVX (self); 143 w = (ev_watcher *)SvPVX (self);
139 144
140 ev_init (w, e_cb); 145 ev_init (w, cv ? e_cb : 0);
141 146
142 w->loop = SvREFCNT_inc (SvRV (loop)); 147 w->loop = SvREFCNT_inc (SvRV (loop));
143 w->flags = WFLAG_KEEPALIVE; 148 w->e_flags = WFLAG_KEEPALIVE;
144 w->data = 0; 149 w->data = 0;
145 w->fh = 0; 150 w->fh = 0;
146 w->cb_sv = SvTEMP (cb_sv) && SvREFCNT (cb_sv) == 1 ? SvREFCNT_inc (cb_sv) : newSVsv (cb_sv); 151 w->cb_sv = SvREFCNT_inc (cv);
147 w->self = self; 152 w->self = self;
148 153
149 return (void *)w; 154 return (void *)w;
150} 155}
151 156
152static void 157static void
175 } 180 }
176 181
177 return rv; 182 return rv;
178} 183}
179 184
180static SV *sv_events_cache; 185static SV *sv_self_cache, *sv_events_cache;
181 186
182static void 187static void
183e_cb (EV_P_ ev_watcher *w, int revents) 188e_cb (EV_P_ ev_watcher *w, int revents)
184{ 189{
185 dSP; 190 dSP;
186 I32 mark = SP - PL_stack_base; 191 I32 mark = SP - PL_stack_base;
187 SV *sv_self, *sv_events; 192 SV *sv_self, *sv_events;
188 193
194 /* libev might have stopped the watcher */
195 if (expect_false (w->e_flags & WFLAG_UNREFED)
196 && !ev_is_active (w))
197 REF (w);
198
199 if (expect_true (sv_self_cache))
200 {
201 sv_self = sv_self_cache; sv_self_cache = 0;
202 SvRV_set (sv_self, SvREFCNT_inc_NN (w->self));
203 }
204 else
205 {
189 sv_self = newRV_inc (w->self); /* w->self MUST be blessed by now */ 206 sv_self = newRV_inc (w->self); /* e_self (w) MUST be blessed by now */
207 SvREADONLY_on (sv_self);
208 }
209
210 if (expect_true (sv_events_cache))
211 {
212 sv_events = sv_events_cache; sv_events_cache = 0;
213 SvIV_set (sv_events, revents);
214 SvIOK_only (sv_events);
215 }
216 else
217 {
218 sv_events = newSViv (revents);
219 SvREADONLY_on (sv_events);
220 }
221
222 PUSHMARK (SP);
223 EXTEND (SP, 2);
224 PUSHs (sv_self);
225 PUSHs (sv_events);
226
227 PUTBACK;
228 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL);
229
230 if (expect_false (SvREFCNT (sv_self) != 1 || sv_self_cache))
231 SvREFCNT_dec (sv_self);
232 else
233 {
234 SvREFCNT_dec (SvRV (sv_self));
235 SvRV_set (sv_self, &PL_sv_undef);
236 sv_self_cache = sv_self;
237 }
238
239 if (expect_false (SvREFCNT (sv_events) != 1 || sv_events_cache))
240 SvREFCNT_dec (sv_events);
241 else
242 sv_events_cache = sv_events;
243
244 if (expect_false (SvTRUE (ERRSV)))
245 {
246 SPAGAIN;
247 PUSHMARK (SP);
248 PUTBACK;
249 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
250 }
251
252 SP = PL_stack_base + mark;
253 PUTBACK;
254}
255
256static void
257e_once_cb (int revents, void *arg)
258{
259 dSP;
260 I32 mark = SP - PL_stack_base;
261 SV *sv_events;
190 262
191 if (sv_events_cache) 263 if (sv_events_cache)
192 { 264 {
193 sv_events = sv_events_cache; sv_events_cache = 0; 265 sv_events = sv_events_cache; sv_events_cache = 0;
194 SvIV_set (sv_events, revents); 266 SvIV_set (sv_events, revents);
195 } 267 }
196 else 268 else
197 sv_events = newSViv (revents); 269 sv_events = newSViv (revents);
198 270
199 PUSHMARK (SP); 271 PUSHMARK (SP);
200 EXTEND (SP, 2);
201 PUSHs (sv_self);
202 PUSHs (sv_events); 272 XPUSHs (sv_events);
203 273
204 PUTBACK; 274 PUTBACK;
205 call_sv (w->cb_sv, G_DISCARD | G_VOID | G_EVAL); 275 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
206 276
207 SvREFCNT_dec (sv_self); 277 SvREFCNT_dec ((SV *)arg);
208 278
209 if (sv_events_cache) 279 if (sv_events_cache)
210 SvREFCNT_dec (sv_events); 280 SvREFCNT_dec (sv_events);
211 else 281 else
212 sv_events_cache = sv_events; 282 sv_events_cache = sv_events;
221 291
222 SP = PL_stack_base + mark; 292 SP = PL_stack_base + mark;
223 PUTBACK; 293 PUTBACK;
224} 294}
225 295
226static void
227e_once_cb (int revents, void *arg)
228{
229 dSP;
230 I32 mark = SP - PL_stack_base;
231 SV *sv_events;
232
233 if (sv_events_cache)
234 {
235 sv_events = sv_events_cache; sv_events_cache = 0;
236 SvIV_set (sv_events, revents);
237 }
238 else
239 sv_events = newSViv (revents);
240
241 PUSHMARK (SP);
242 XPUSHs (sv_events);
243
244 PUTBACK;
245 call_sv ((SV *)arg, G_DISCARD | G_VOID | G_EVAL);
246
247 SvREFCNT_dec ((SV *)arg);
248
249 if (sv_events_cache)
250 SvREFCNT_dec (sv_events);
251 else
252 sv_events_cache = sv_events;
253
254 if (SvTRUE (ERRSV))
255 {
256 SPAGAIN;
257 PUSHMARK (SP);
258 PUTBACK;
259 call_sv (get_sv ("EV::DIED", 1), G_DISCARD | G_VOID | G_EVAL | G_KEEPERR);
260 }
261
262 SP = PL_stack_base + mark;
263 PUTBACK;
264}
265
266static ev_tstamp 296static ev_tstamp
267e_periodic_cb (ev_periodic *w, ev_tstamp now) 297e_periodic_cb (ev_periodic *w, ev_tstamp now)
268{ 298{
269 ev_tstamp retval; 299 ev_tstamp retval;
270 int count; 300 int count;
273 ENTER; 303 ENTER;
274 SAVETMPS; 304 SAVETMPS;
275 305
276 PUSHMARK (SP); 306 PUSHMARK (SP);
277 EXTEND (SP, 2); 307 EXTEND (SP, 2);
278 PUSHs (newRV_inc (w->self)); /* w->self MUST be blessed by now */ 308 PUSHs (newRV_inc (e_self (w))); /* e_self (w) MUST be blessed by now */
279 PUSHs (newSVnv (now)); 309 PUSHs (newSVnv (now));
280 310
281 PUTBACK; 311 PUTBACK;
282 count = call_sv (w->fh, G_SCALAR | G_EVAL); 312 count = call_sv (w->fh, G_SCALAR | G_EVAL);
283 SPAGAIN; 313 SPAGAIN;
313 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh)); 343 croak ("illegal file descriptor or filehandle (either no attached file descriptor or illegal value): %s", SvPV_nolen (fh));
314 344
315#define CHECK_SIG(sv,num) if ((num) < 0) \ 345#define CHECK_SIG(sv,num) if ((num) < 0) \
316 croak ("illegal signal number or name: %s", SvPV_nolen (sv)); 346 croak ("illegal signal number or name: %s", SvPV_nolen (sv));
317 347
348static void
349default_fork (void)
350{
351 ev_loop_fork (EV_DEFAULT_UC);
352}
353
318///////////////////////////////////////////////////////////////////////////// 354/////////////////////////////////////////////////////////////////////////////
319// XS interface functions 355// XS interface functions
320 356
321MODULE = EV PACKAGE = EV PREFIX = ev_ 357MODULE = EV PACKAGE = EV PREFIX = ev_
322 358
334 const_iv (EV_, MINPRI) 370 const_iv (EV_, MINPRI)
335 const_iv (EV_, MAXPRI) 371 const_iv (EV_, MAXPRI)
336 372
337 const_iv (EV_, UNDEF) 373 const_iv (EV_, UNDEF)
338 const_iv (EV_, NONE) 374 const_iv (EV_, NONE)
339 const_iv (EV_, TIMEOUT)
340 const_iv (EV_, READ) 375 const_iv (EV_, READ)
341 const_iv (EV_, WRITE) 376 const_iv (EV_, WRITE)
377 const_iv (EV_, IO)
378 const_iv (EV_, TIMER)
379 const_iv (EV_, PERIODIC)
342 const_iv (EV_, SIGNAL) 380 const_iv (EV_, SIGNAL)
381 const_iv (EV_, CHILD)
382 const_iv (EV_, STAT)
343 const_iv (EV_, IDLE) 383 const_iv (EV_, IDLE)
384 const_iv (EV_, PREPARE)
344 const_iv (EV_, CHECK) 385 const_iv (EV_, CHECK)
386 const_iv (EV_, EMBED)
387 const_iv (EV_, FORK)
388 const_iv (EV_, CLEANUP)
389 const_iv (EV_, ASYNC)
390 const_iv (EV_, CUSTOM)
345 const_iv (EV_, ERROR) 391 const_iv (EV_, ERROR)
346 392
347 const_iv (EV, LOOP_ONESHOT) 393 const_iv (EV, RUN_NOWAIT)
348 const_iv (EV, LOOP_NONBLOCK)
349 const_iv (EV, UNLOOP_ONE) 394 const_iv (EV, RUN_ONCE)
395
396 const_iv (EV, BREAK_CANCEL)
397 const_iv (EV, BREAK_ONE)
350 const_iv (EV, UNLOOP_ALL) 398 const_iv (EV, BREAK_ALL)
351
352 const_iv (EV, BACKEND_SELECT) 399 const_iv (EV, BACKEND_SELECT)
353 const_iv (EV, BACKEND_POLL) 400 const_iv (EV, BACKEND_POLL)
354 const_iv (EV, BACKEND_EPOLL) 401 const_iv (EV, BACKEND_EPOLL)
355 const_iv (EV, BACKEND_KQUEUE) 402 const_iv (EV, BACKEND_KQUEUE)
356 const_iv (EV, BACKEND_DEVPOLL) 403 const_iv (EV, BACKEND_DEVPOLL)
357 const_iv (EV, BACKEND_PORT) 404 const_iv (EV, BACKEND_PORT)
405 const_iv (EV, BACKEND_ALL)
406 const_iv (EV, BACKEND_MASK)
358 const_iv (EV, FLAG_AUTO) 407 const_iv (EV, FLAG_AUTO)
408 const_iv (EV, FLAG_FORKCHECK)
409 const_iv (EV, FLAG_SIGNALFD)
410 const_iv (EV, FLAG_NOSIGMASK)
359 const_iv (EV, FLAG_NOENV) 411 const_iv (EV, FLAG_NOENV)
360 const_iv (EV, FLAG_FORKCHECK) 412 const_iv (EV, FLAG_NOINOTIFY)
413
414 const_iv (EV_, VERSION_MAJOR)
415 const_iv (EV_, VERSION_MINOR)
416#if EV_COMPAT3
417 const_iv (EV, FLAG_NOSIGFD) /* compatibility, always 0 */
418 const_iv (EV_, TIMEOUT)
419 const_iv (EV, LOOP_NONBLOCK)
420 const_iv (EV, LOOP_ONESHOT)
421 const_iv (EV, UNLOOP_CANCEL)
422 const_iv (EV, UNLOOP_ONE)
423 const_iv (EV, UNLOOP_ALL)
424#endif
361 }; 425 };
362 426
363 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; ) 427 for (civ = const_iv + sizeof (const_iv) / sizeof (const_iv [0]); civ-- > const_iv; )
364 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv)); 428 newCONSTSUB (stash, (char *)civ->name, newSViv (civ->iv));
365 429
374 stash_check = gv_stashpv ("EV::Check" , 1); 438 stash_check = gv_stashpv ("EV::Check" , 1);
375 stash_child = gv_stashpv ("EV::Child" , 1); 439 stash_child = gv_stashpv ("EV::Child" , 1);
376 stash_embed = gv_stashpv ("EV::Embed" , 1); 440 stash_embed = gv_stashpv ("EV::Embed" , 1);
377 stash_stat = gv_stashpv ("EV::Stat" , 1); 441 stash_stat = gv_stashpv ("EV::Stat" , 1);
378 stash_fork = gv_stashpv ("EV::Fork" , 1); 442 stash_fork = gv_stashpv ("EV::Fork" , 1);
443 stash_cleanup = gv_stashpv ("EV::Cleanup" , 1);
444 stash_async = gv_stashpv ("EV::Async" , 1);
379 445
380 { 446 {
381 SV *sv = perl_get_sv ("EV::API", TRUE); 447 SV *sv = perl_get_sv ("EV::API", TRUE);
382 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */ 448 perl_get_sv ("EV::API", TRUE); /* silence 5.10 warning */
383 449
384 /* the poor man's shared library emulator */ 450 /* the poor man's shared library emulator */
385 evapi.ver = EV_API_VERSION; 451 evapi.ver = EV_API_VERSION;
386 evapi.rev = EV_API_REVISION; 452 evapi.rev = EV_API_REVISION;
387 evapi.sv_fileno = sv_fileno; 453 evapi.sv_fileno = sv_fileno;
388 evapi.sv_signum = sv_signum; 454 evapi.sv_signum = s_signum;
389 evapi.supported_backends = ev_supported_backends (); 455 evapi.supported_backends = ev_supported_backends ();
390 evapi.recommended_backends = ev_recommended_backends (); 456 evapi.recommended_backends = ev_recommended_backends ();
391 evapi.embeddable_backends = ev_embeddable_backends (); 457 evapi.embeddable_backends = ev_embeddable_backends ();
392 evapi.time = ev_time; 458 evapi.time_ = ev_time;
459 evapi.sleep_ = ev_sleep;
393 evapi.loop_new = ev_loop_new; 460 evapi.loop_new = ev_loop_new;
394 evapi.loop_destroy = ev_loop_destroy; 461 evapi.loop_destroy = ev_loop_destroy;
395 evapi.loop_fork = ev_loop_fork; 462 evapi.loop_fork = ev_loop_fork;
396 evapi.loop_count = ev_loop_count; 463 evapi.iteration = ev_iteration;
464 evapi.depth = ev_depth;
465 evapi.set_userdata = ev_set_userdata;
466 evapi.userdata = ev_userdata;
397 evapi.now = ev_now; 467 evapi.now = ev_now;
468 evapi.now_update = ev_now_update;
469 evapi.suspend = ev_suspend;
470 evapi.resume = ev_resume;
398 evapi.backend = ev_backend; 471 evapi.backend = ev_backend;
399 evapi.unloop = ev_unloop; 472 evapi.break_ = ev_break;
473 evapi.invoke_pending = ev_invoke_pending;
474 evapi.pending_count = ev_pending_count;
475 evapi.verify = ev_verify;
476 evapi.set_loop_release_cb = ev_set_loop_release_cb;
477 evapi.set_invoke_pending_cb= ev_set_invoke_pending_cb;
400 evapi.ref = ev_ref; 478 evapi.ref = ev_ref;
401 evapi.unref = ev_unref; 479 evapi.unref = ev_unref;
402 evapi.loop = ev_loop; 480 evapi.run = ev_run;
403 evapi.once = ev_once; 481 evapi.once = ev_once;
404 evapi.io_start = ev_io_start; 482 evapi.io_start = ev_io_start;
405 evapi.io_stop = ev_io_stop; 483 evapi.io_stop = ev_io_stop;
406 evapi.timer_start = ev_timer_start; 484 evapi.timer_start = ev_timer_start;
407 evapi.timer_stop = ev_timer_stop; 485 evapi.timer_stop = ev_timer_stop;
408 evapi.timer_again = ev_timer_again; 486 evapi.timer_again = ev_timer_again;
487 evapi.timer_remaining = ev_timer_remaining;
409 evapi.periodic_start = ev_periodic_start; 488 evapi.periodic_start = ev_periodic_start;
410 evapi.periodic_stop = ev_periodic_stop; 489 evapi.periodic_stop = ev_periodic_stop;
411 evapi.signal_start = ev_signal_start; 490 evapi.signal_start = ev_signal_start;
412 evapi.signal_stop = ev_signal_stop; 491 evapi.signal_stop = ev_signal_stop;
413 evapi.idle_start = ev_idle_start; 492 evapi.idle_start = ev_idle_start;
414 evapi.idle_stop = ev_idle_stop; 493 evapi.idle_stop = ev_idle_stop;
415 evapi.prepare_start = ev_prepare_start; 494 evapi.prepare_start = ev_prepare_start;
416 evapi.prepare_stop = ev_prepare_stop; 495 evapi.prepare_stop = ev_prepare_stop;
417 evapi.check_start = ev_check_start; 496 evapi.check_start = ev_check_start;
418 evapi.check_stop = ev_check_stop; 497 evapi.check_stop = ev_check_stop;
498#if EV_CHILD_ENABLE
419 evapi.child_start = ev_child_start; 499 evapi.child_start = ev_child_start;
420 evapi.child_stop = ev_child_stop; 500 evapi.child_stop = ev_child_stop;
501#endif
421 evapi.stat_start = ev_stat_start; 502 evapi.stat_start = ev_stat_start;
422 evapi.stat_stop = ev_stat_stop; 503 evapi.stat_stop = ev_stat_stop;
423 evapi.stat_stat = ev_stat_stat; 504 evapi.stat_stat = ev_stat_stat;
424 evapi.embed_start = ev_embed_start; 505 evapi.embed_start = ev_embed_start;
425 evapi.embed_stop = ev_embed_stop; 506 evapi.embed_stop = ev_embed_stop;
426 evapi.embed_sweep = ev_embed_sweep; 507 evapi.embed_sweep = ev_embed_sweep;
427 evapi.fork_start = ev_fork_start; 508 evapi.fork_start = ev_fork_start;
428 evapi.fork_stop = ev_fork_stop; 509 evapi.fork_stop = ev_fork_stop;
510 evapi.cleanup_start = ev_cleanup_start;
511 evapi.cleanup_stop = ev_cleanup_stop;
512 evapi.async_start = ev_async_start;
513 evapi.async_stop = ev_async_stop;
514 evapi.async_send = ev_async_send;
429 evapi.clear_pending = ev_clear_pending; 515 evapi.clear_pending = ev_clear_pending;
430 evapi.invoke = ev_invoke; 516 evapi.invoke = ev_invoke;
431 517
432 sv_setiv (sv, (IV)&evapi); 518 sv_setiv (sv, (IV)&evapi);
433 SvREADONLY_on (sv); 519 SvREADONLY_on (sv);
434 } 520 }
435#ifndef _WIN32 521#if !defined(_WIN32) && !defined(_MINIX)
436 pthread_atfork (0, 0, ev_default_fork); 522 pthread_atfork (0, 0, default_fork);
437#endif 523#endif
438} 524}
439 525
440SV *ev_default_loop (unsigned int flags = ev_supported_backends ()) 526SV *ev_default_loop (unsigned int flags = 0)
441 CODE: 527 CODE:
442{ 528{
443 if (!default_loop_sv) 529 if (!default_loop_sv)
444 { 530 {
445 evapi.default_loop = ev_default_loop (flags); 531 evapi.default_loop = ev_default_loop (flags);
453 RETVAL = newSVsv (default_loop_sv); 539 RETVAL = newSVsv (default_loop_sv);
454} 540}
455 OUTPUT: 541 OUTPUT:
456 RETVAL 542 RETVAL
457 543
544void ev_default_destroy ()
545 CODE:
546 ev_loop_destroy (EV_DEFAULT_UC);
547 SvREFCNT_dec (default_loop_sv);
548 default_loop_sv = 0;
549
550unsigned int ev_supported_backends ()
551
552unsigned int ev_recommended_backends ()
553
554unsigned int ev_embeddable_backends ()
555
556void ev_sleep (NV interval)
557
458NV ev_time () 558NV ev_time ()
459 559
460NV ev_now () 560NV ev_now ()
461 C_ARGS: evapi.default_loop 561 C_ARGS: evapi.default_loop
462 562
563void ev_now_update ()
564 C_ARGS: evapi.default_loop
565
566void ev_suspend ()
567 C_ARGS: evapi.default_loop
568
569void ev_resume ()
570 C_ARGS: evapi.default_loop
571
463unsigned int ev_backend () 572unsigned int ev_backend ()
464 C_ARGS: evapi.default_loop 573 C_ARGS: evapi.default_loop
465 574
466unsigned int ev_loop_count () 575void ev_verify ()
576 ALIAS:
577 loop_verify = 1
467 C_ARGS: evapi.default_loop 578 C_ARGS: evapi.default_loop
468 579
580unsigned int ev_iteration ()
581 ALIAS:
582 loop_count = 1
583 C_ARGS: evapi.default_loop
584
585unsigned int ev_depth ()
586 ALIAS:
587 loop_depth = 1
588 C_ARGS: evapi.default_loop
589
590void ev_set_io_collect_interval (NV interval)
591 C_ARGS: evapi.default_loop, interval
592
593void ev_set_timeout_collect_interval (NV interval)
594 C_ARGS: evapi.default_loop, interval
595
469void ev_loop (int flags = 0) 596void ev_run (int flags = 0)
597 ALIAS:
598 loop = 1
470 C_ARGS: evapi.default_loop, flags 599 C_ARGS: evapi.default_loop, flags
471 600
472void ev_unloop (int how = 1) 601void ev_break (int how = EVBREAK_ONE)
602 ALIAS:
603 unloop = 1
473 C_ARGS: evapi.default_loop, how 604 C_ARGS: evapi.default_loop, how
474 605
475void ev_feed_fd_event (int fd, int revents = EV_NONE) 606void ev_feed_fd_event (int fd, int revents = EV_NONE)
476 C_ARGS: evapi.default_loop, fd, revents 607 C_ARGS: evapi.default_loop, fd, revents
477 608
478void ev_feed_signal_event (SV *signal) 609void ev_feed_signal_event (SV *signal)
479 CODE: 610 CODE:
480{ 611{
481 Signal signum = sv_signum (signal); 612 Signal signum = s_signum (signal);
482 CHECK_SIG (signal, signum); 613 CHECK_SIG (signal, signum);
483 614
484 ev_feed_signal_event (evapi.default_loop, signum); 615 ev_feed_signal_event (evapi.default_loop, signum);
485} 616}
486 617
618unsigned int ev_pending_count ()
619 C_ARGS: evapi.default_loop
620
621void ev_invoke_pending ()
622 C_ARGS: evapi.default_loop
623
487ev_io *io (SV *fh, int events, SV *cb) 624ev_io *io (SV *fh, int events, SV *cb)
488 ALIAS: 625 ALIAS:
489 io_ns = 1 626 io_ns = 1
627 _ae_io = 2
490 CODE: 628 CODE:
491{ 629{
492 int fd = sv_fileno (fh); 630 int fd = s_fileno (fh, events & EV_WRITE);
493 CHECK_FD (fh, fd); 631 CHECK_FD (fh, fd);
494 632
633 if (ix == 2)
634 {
635 ix = 0;
636 events = events ? EV_WRITE : EV_READ;
637 }
638
495 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv); 639 RETVAL = e_new (sizeof (ev_io), cb, default_loop_sv);
496 RETVAL->fh = newSVsv (fh); 640 e_fh (RETVAL) = newSVsv (fh);
497 ev_io_set (RETVAL, fd, events); 641 ev_io_set (RETVAL, fd, events);
498 if (!ix) START (io, RETVAL); 642 if (!ix) START (io, RETVAL);
499} 643}
500 OUTPUT: 644 OUTPUT:
501 RETVAL 645 RETVAL
519 CHECK_REPEAT (interval); 663 CHECK_REPEAT (interval);
520 CODE: 664 CODE:
521{ 665{
522 ev_periodic *w; 666 ev_periodic *w;
523 w = e_new (sizeof (ev_periodic), cb, default_loop_sv); 667 w = e_new (sizeof (ev_periodic), cb, default_loop_sv);
524 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 668 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
525 ev_periodic_set (w, at, interval, w->fh ? e_periodic_cb : 0); 669 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
526 RETVAL = e_bless ((ev_watcher *)w, stash_periodic); 670 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
527 if (!ix) START (periodic, w); 671 if (!ix) START (periodic, w);
528} 672}
529 OUTPUT: 673 OUTPUT:
530 RETVAL 674 RETVAL
532ev_signal *signal (SV *signal, SV *cb) 676ev_signal *signal (SV *signal, SV *cb)
533 ALIAS: 677 ALIAS:
534 signal_ns = 1 678 signal_ns = 1
535 CODE: 679 CODE:
536{ 680{
537 Signal signum = sv_signum (signal); 681 Signal signum = s_signum (signal);
538 CHECK_SIG (signal, signum); 682 CHECK_SIG (signal, signum);
539 683
540 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv); 684 RETVAL = e_new (sizeof (ev_signal), cb, default_loop_sv);
541 ev_signal_set (RETVAL, signum); 685 ev_signal_set (RETVAL, signum);
542 if (!ix) START (signal, RETVAL); 686 if (!ix) START_SIGNAL (RETVAL);
543} 687}
544 OUTPUT: 688 OUTPUT:
545 RETVAL 689 RETVAL
546 690
547ev_idle *idle (SV *cb) 691ev_idle *idle (SV *cb)
582 ev_fork_set (RETVAL); 726 ev_fork_set (RETVAL);
583 if (!ix) START (fork, RETVAL); 727 if (!ix) START (fork, RETVAL);
584 OUTPUT: 728 OUTPUT:
585 RETVAL 729 RETVAL
586 730
731ev_cleanup *cleanup (SV *cb)
732 ALIAS:
733 cleanup_ns = 1
734 CODE:
735 RETVAL = e_new (sizeof (ev_cleanup), cb, default_loop_sv);
736 ev_cleanup_set (RETVAL);
737 if (!ix) START (cleanup, RETVAL);
738 OUTPUT:
739 RETVAL
740
587ev_child *child (int pid, SV *cb) 741ev_child *child (int pid, int trace, SV *cb)
588 ALIAS: 742 ALIAS:
589 child_ns = 1 743 child_ns = 1
590 CODE: 744 CODE:
745#if EV_CHILD_ENABLE
591 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv); 746 RETVAL = e_new (sizeof (ev_child), cb, default_loop_sv);
592 ev_child_set (RETVAL, pid); 747 ev_child_set (RETVAL, pid, trace);
593 if (!ix) START (child, RETVAL); 748 if (!ix) START (child, RETVAL);
749#else
750 croak ("EV::child watchers not supported on this platform");
751#endif
594 OUTPUT: 752 OUTPUT:
595 RETVAL 753 RETVAL
754
596 755
597ev_stat *stat (SV *path, NV interval, SV *cb) 756ev_stat *stat (SV *path, NV interval, SV *cb)
598 ALIAS: 757 ALIAS:
599 stat_ns = 1 758 stat_ns = 1
600 CODE: 759 CODE:
601 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv); 760 RETVAL = e_new (sizeof (ev_stat), cb, default_loop_sv);
602 RETVAL->fh = newSVsv (path); 761 e_fh (RETVAL) = newSVsv (path);
603 ev_stat_set (RETVAL, SvPVbyte_nolen (RETVAL->fh), interval); 762 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
604 if (!ix) START (stat, RETVAL); 763 if (!ix) START (stat, RETVAL);
605 OUTPUT: 764 OUTPUT:
606 RETVAL 765 RETVAL
607 766
767#ifndef EV_NO_LOOPS
768
608ev_embed *embed (struct ev_loop *loop, SV *cb) 769ev_embed *embed (struct ev_loop *loop, SV *cb = 0)
609 ALIAS: 770 ALIAS:
610 embed_ns = 1 771 embed_ns = 1
611 CODE: 772 CODE:
773{
774 if (!(ev_backend (loop) & ev_embeddable_backends ()))
775 croak ("passed loop is not embeddable via EV::embed,");
776
612 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv); 777 RETVAL = e_new (sizeof (ev_embed), cb, default_loop_sv);
613 RETVAL->fh = newSVsv (ST (0)); 778 e_fh (RETVAL) = newSVsv (ST (0));
614 ev_embed_set (RETVAL, loop); 779 ev_embed_set (RETVAL, loop);
615 if (!ix) START (embed, RETVAL); 780 if (!ix) START (embed, RETVAL);
781}
782 OUTPUT:
783 RETVAL
784
785#endif
786
787ev_async *async (SV *cb)
788 ALIAS:
789 async_ns = 1
790 CODE:
791 RETVAL = e_new (sizeof (ev_async), cb, default_loop_sv);
792 ev_async_set (RETVAL);
793 if (!ix) START (async, RETVAL);
616 OUTPUT: 794 OUTPUT:
617 RETVAL 795 RETVAL
618 796
619void once (SV *fh, int events, SV *timeout, SV *cb) 797void once (SV *fh, int events, SV *timeout, SV *cb)
620 CODE: 798 CODE:
621 ev_once ( 799 ev_once (
622 evapi.default_loop, 800 evapi.default_loop,
623 sv_fileno (fh), events, 801 s_fileno (fh, events & EV_WRITE), events,
624 SvOK (timeout) ? SvNV (timeout) : -1., 802 SvOK (timeout) ? SvNV (timeout) : -1.,
625 e_once_cb, 803 e_once_cb,
626 newSVsv (cb) 804 newSVsv (cb)
627 ); 805 );
628 806
644 C_ARGS: e_loop (w), w, revents 822 C_ARGS: e_loop (w), w, revents
645 823
646int keepalive (ev_watcher *w, int new_value = 0) 824int keepalive (ev_watcher *w, int new_value = 0)
647 CODE: 825 CODE:
648{ 826{
649 RETVAL = w->flags & WFLAG_KEEPALIVE; 827 RETVAL = w->e_flags & WFLAG_KEEPALIVE;
650 new_value = new_value ? WFLAG_KEEPALIVE : 0; 828 new_value = new_value ? WFLAG_KEEPALIVE : 0;
651 829
652 if (items > 1 && ((new_value ^ w->flags) & WFLAG_KEEPALIVE)) 830 if (items > 1 && ((new_value ^ w->e_flags) & WFLAG_KEEPALIVE))
653 { 831 {
832 w->e_flags = (w->e_flags & ~WFLAG_KEEPALIVE) | new_value;
654 REF (w); 833 REF (w);
655 w->flags = (w->flags & ~WFLAG_KEEPALIVE) | new_value;
656 UNREF (w); 834 UNREF (w);
657 } 835 }
658} 836}
659 OUTPUT: 837 OUTPUT:
660 RETVAL 838 RETVAL
661 839
662SV *cb (ev_watcher *w, SV *new_cb = 0) 840SV *cb (ev_watcher *w, SV *new_cb = 0)
663 CODE: 841 CODE:
664{ 842{
665 RETVAL = newSVsv (w->cb_sv);
666
667 if (items > 1) 843 if (items > 1)
668 sv_setsv (w->cb_sv, new_cb); 844 {
845 new_cb = s_get_cv_croak (new_cb);
846 RETVAL = newRV_noinc (w->cb_sv);
847 w->cb_sv = SvREFCNT_inc (new_cb);
848 }
849 else
850 RETVAL = newRV_inc (w->cb_sv);
669} 851}
670 OUTPUT: 852 OUTPUT:
671 RETVAL 853 RETVAL
672 854
673SV *data (ev_watcher *w, SV *new_data = 0) 855SV *data (ev_watcher *w, SV *new_data = 0)
679 { 861 {
680 SvREFCNT_dec (w->data); 862 SvREFCNT_dec (w->data);
681 w->data = newSVsv (new_data); 863 w->data = newSVsv (new_data);
682 } 864 }
683} 865}
866 OUTPUT:
867 RETVAL
868
869SV *loop (ev_watcher *w)
870 CODE:
871 RETVAL = newRV_inc (w->loop);
684 OUTPUT: 872 OUTPUT:
685 RETVAL 873 RETVAL
686 874
687int priority (ev_watcher *w, int new_priority = 0) 875int priority (ev_watcher *w, int new_priority = 0)
688 CODE: 876 CODE:
732 e_destroy (w); 920 e_destroy (w);
733 921
734void set (ev_io *w, SV *fh, int events) 922void set (ev_io *w, SV *fh, int events)
735 CODE: 923 CODE:
736{ 924{
737 int fd = sv_fileno (fh); 925 int fd = s_fileno (fh, events & EV_WRITE);
738 CHECK_FD (fh, fd); 926 CHECK_FD (fh, fd);
739 927
740 sv_setsv (w->fh, fh); 928 sv_setsv (e_fh (w), fh);
741 RESET (io, w, (w, fd, events)); 929 RESET (io, w, (w, fd, events));
742} 930}
743 931
744SV *fh (ev_io *w, SV *new_fh = 0) 932SV *fh (ev_io *w, SV *new_fh = 0)
745 CODE: 933 CODE:
746{ 934{
747 if (items > 1) 935 if (items > 1)
748 { 936 {
749 int fd = sv_fileno (new_fh); 937 int fd = s_fileno (new_fh, w->events & EV_WRITE);
750 CHECK_FD (new_fh, fd); 938 CHECK_FD (new_fh, fd);
751 939
752 RETVAL = w->fh; 940 RETVAL = e_fh (w);
753 w->fh = newSVsv (new_fh); 941 e_fh (w) = newSVsv (new_fh);
754 942
755 RESET (io, w, (w, fd, w->events)); 943 RESET (io, w, (w, fd, w->events));
756 } 944 }
757 else 945 else
758 RETVAL = newSVsv (w->fh); 946 RETVAL = newSVsv (e_fh (w));
759} 947}
760 OUTPUT: 948 OUTPUT:
761 RETVAL 949 RETVAL
762 950
763int events (ev_io *w, int new_events = EV_UNDEF) 951int events (ev_io *w, int new_events = EV_UNDEF)
773 961
774MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_ 962MODULE = EV PACKAGE = EV::Signal PREFIX = ev_signal_
775 963
776void ev_signal_start (ev_signal *w) 964void ev_signal_start (ev_signal *w)
777 CODE: 965 CODE:
778 START (signal, w); 966 START_SIGNAL (w);
779 967
780void ev_signal_stop (ev_signal *w) 968void ev_signal_stop (ev_signal *w)
781 CODE: 969 CODE:
782 STOP (signal, w); 970 STOP (signal, w);
783 971
787 e_destroy (w); 975 e_destroy (w);
788 976
789void set (ev_signal *w, SV *signal) 977void set (ev_signal *w, SV *signal)
790 CODE: 978 CODE:
791{ 979{
792 Signal signum = sv_signum (signal); 980 Signal signum = s_signum (signal);
793 CHECK_SIG (signal, signum); 981 CHECK_SIG (signal, signum);
794 982
795 RESET (signal, w, (w, signum)); 983 RESET_SIGNAL (w, (w, signum));
796} 984}
797 985
798int signal (ev_signal *w, SV *new_signal = 0) 986int signal (ev_signal *w, SV *new_signal = 0)
799 CODE: 987 CODE:
800{ 988{
801 RETVAL = w->signum; 989 RETVAL = w->signum;
802 990
803 if (items > 1) 991 if (items > 1)
804 { 992 {
805 Signal signum = sv_signum (new_signal); 993 Signal signum = s_signum (new_signal);
806 CHECK_SIG (new_signal, signum); 994 CHECK_SIG (new_signal, signum);
807 995
808 RESET (signal, w, (w, signum)); 996 RESET_SIGNAL (w, (w, signum));
809 } 997 }
810} 998}
811 OUTPUT: 999 OUTPUT:
812 RETVAL 1000 RETVAL
813 1001
825 1013
826void ev_timer_again (ev_timer *w) 1014void ev_timer_again (ev_timer *w)
827 INIT: 1015 INIT:
828 CHECK_REPEAT (w->repeat); 1016 CHECK_REPEAT (w->repeat);
829 CODE: 1017 CODE:
830 REF (w);
831 ev_timer_again (e_loop (w), w); 1018 ev_timer_again (e_loop (w), w);
832 UNREF (w); 1019 UNREF (w);
1020
1021NV ev_timer_remaining (ev_timer *w)
1022 C_ARGS: e_loop (w), w
833 1023
834void DESTROY (ev_timer *w) 1024void DESTROY (ev_timer *w)
835 CODE: 1025 CODE:
836 STOP (timer, w); 1026 STOP (timer, w);
837 e_destroy (w); 1027 e_destroy (w);
840 INIT: 1030 INIT:
841 CHECK_REPEAT (repeat); 1031 CHECK_REPEAT (repeat);
842 CODE: 1032 CODE:
843 RESET (timer, w, (w, after, repeat)); 1033 RESET (timer, w, (w, after, repeat));
844 1034
845NV at (ev_timer *w)
846 CODE:
847 RETVAL = w->at;
848 OUTPUT:
849 RETVAL
850
851MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_ 1035MODULE = EV PACKAGE = EV::Periodic PREFIX = ev_periodic_
852 1036
853void ev_periodic_start (ev_periodic *w) 1037void ev_periodic_start (ev_periodic *w)
854 INIT: 1038 INIT:
855 CHECK_REPEAT (w->interval); 1039 CHECK_REPEAT (w->interval);
860 CODE: 1044 CODE:
861 STOP (periodic, w); 1045 STOP (periodic, w);
862 1046
863void ev_periodic_again (ev_periodic *w) 1047void ev_periodic_again (ev_periodic *w)
864 CODE: 1048 CODE:
865 REF (w);
866 ev_periodic_again (e_loop (w), w); 1049 ev_periodic_again (e_loop (w), w);
867 UNREF (w); 1050 UNREF (w);
868 1051
869void DESTROY (ev_periodic *w) 1052void DESTROY (ev_periodic *w)
870 CODE: 1053 CODE:
874void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef) 1057void set (ev_periodic *w, NV at, NV interval = 0., SV *reschedule_cb = &PL_sv_undef)
875 INIT: 1058 INIT:
876 CHECK_REPEAT (interval); 1059 CHECK_REPEAT (interval);
877 CODE: 1060 CODE:
878{ 1061{
879 SvREFCNT_dec (w->fh); 1062 SvREFCNT_dec (e_fh (w));
880 w->fh = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0; 1063 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
881 1064
882 RESET (periodic, w, (w, at, interval, w->fh ? e_periodic_cb : 0)); 1065 RESET (periodic, w, (w, at, interval, e_fh (w) ? e_periodic_cb : 0));
883} 1066}
884 1067
885NV at (ev_periodic *w) 1068NV at (ev_periodic *w)
886 CODE: 1069 CODE:
887 RETVAL = w->at; 1070 RETVAL = ev_periodic_at (w);
888 OUTPUT: 1071 OUTPUT:
889 RETVAL 1072 RETVAL
890 1073
891MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_ 1074MODULE = EV PACKAGE = EV::Idle PREFIX = ev_idle_
892 1075
901void DESTROY (ev_idle *w) 1084void DESTROY (ev_idle *w)
902 CODE: 1085 CODE:
903 STOP (idle, w); 1086 STOP (idle, w);
904 e_destroy (w); 1087 e_destroy (w);
905 1088
906MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_check_ 1089MODULE = EV PACKAGE = EV::Prepare PREFIX = ev_prepare_
907 1090
908void ev_prepare_start (ev_prepare *w) 1091void ev_prepare_start (ev_prepare *w)
909 CODE: 1092 CODE:
910 START (prepare, w); 1093 START (prepare, w);
911 1094
946void DESTROY (ev_fork *w) 1129void DESTROY (ev_fork *w)
947 CODE: 1130 CODE:
948 STOP (fork, w); 1131 STOP (fork, w);
949 e_destroy (w); 1132 e_destroy (w);
950 1133
1134MODULE = EV PACKAGE = EV::Cleanup PREFIX = ev_cleanup_
1135
1136void ev_cleanup_start (ev_cleanup *w)
1137 CODE:
1138 START (cleanup, w);
1139
1140void ev_cleanup_stop (ev_cleanup *w)
1141 CODE:
1142 STOP (cleanup, w);
1143
1144void DESTROY (ev_cleanup *w)
1145 CODE:
1146 STOP (cleanup, w);
1147 e_destroy (w);
1148
1149int keepalive (ev_watcher *w, int new_value = 0)
1150 CODE:
1151 RETVAL = 0;
1152 OUTPUT:
1153 RETVAL
1154
951MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_ 1155MODULE = EV PACKAGE = EV::Child PREFIX = ev_child_
1156
1157#if EV_CHILD_ENABLE
952 1158
953void ev_child_start (ev_child *w) 1159void ev_child_start (ev_child *w)
954 CODE: 1160 CODE:
955 START (child, w); 1161 START (child, w);
956 1162
961void DESTROY (ev_child *w) 1167void DESTROY (ev_child *w)
962 CODE: 1168 CODE:
963 STOP (child, w); 1169 STOP (child, w);
964 e_destroy (w); 1170 e_destroy (w);
965 1171
966void set (ev_child *w, int pid) 1172void set (ev_child *w, int pid, int trace)
967 CODE: 1173 CODE:
968 RESET (child, w, (w, pid)); 1174 RESET (child, w, (w, pid, trace));
969 1175
970int pid (ev_child *w, int new_pid = 0)
971 CODE:
972{
973 RETVAL = w->pid;
974
975 if (items > 1)
976 RESET (child, w, (w, new_pid));
977}
978 OUTPUT:
979 RETVAL
980
981
982int rstatus (ev_child *w) 1176int pid (ev_child *w)
983 ALIAS: 1177 ALIAS:
984 rpid = 1 1178 rpid = 1
1179 rstatus = 2
985 CODE: 1180 CODE:
986 RETVAL = ix ? w->rpid : w->rstatus; 1181 RETVAL = ix == 0 ? w->pid
1182 : ix == 1 ? w->rpid
1183 : w->rstatus;
987 OUTPUT: 1184 OUTPUT:
988 RETVAL 1185 RETVAL
1186
1187#endif
989 1188
990MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_ 1189MODULE = EV PACKAGE = EV::Stat PREFIX = ev_stat_
991 1190
992void ev_stat_start (ev_stat *w) 1191void ev_stat_start (ev_stat *w)
993 CODE: 1192 CODE:
1003 e_destroy (w); 1202 e_destroy (w);
1004 1203
1005void set (ev_stat *w, SV *path, NV interval) 1204void set (ev_stat *w, SV *path, NV interval)
1006 CODE: 1205 CODE:
1007{ 1206{
1008 sv_setsv (w->fh, path); 1207 sv_setsv (e_fh (w), path);
1009 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), interval)); 1208 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), interval));
1010} 1209}
1011 1210
1012SV *path (ev_stat *w, SV *new_path = 0) 1211SV *path (ev_stat *w, SV *new_path = 0)
1013 CODE: 1212 CODE:
1014{ 1213{
1015 RETVAL = SvREFCNT_inc (w->fh); 1214 RETVAL = SvREFCNT_inc (e_fh (w));
1016 1215
1017 if (items > 1) 1216 if (items > 1)
1018 { 1217 {
1019 SvREFCNT_dec (w->fh); 1218 SvREFCNT_dec (e_fh (w));
1020 w->fh = newSVsv (new_path); 1219 e_fh (w) = newSVsv (new_path);
1021 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), w->interval)); 1220 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), w->interval));
1022 } 1221 }
1023} 1222}
1024 OUTPUT: 1223 OUTPUT:
1025 RETVAL 1224 RETVAL
1026 1225
1028 CODE: 1227 CODE:
1029{ 1228{
1030 RETVAL = w->interval; 1229 RETVAL = w->interval;
1031 1230
1032 if (items > 1) 1231 if (items > 1)
1033 RESET (stat, w, (w, SvPVbyte_nolen (w->fh), new_interval)); 1232 RESET (stat, w, (w, SvPVbyte_nolen (e_fh (w)), new_interval));
1034} 1233}
1035 OUTPUT: 1234 OUTPUT:
1036 RETVAL 1235 RETVAL
1037 1236
1038void prev (ev_stat *w) 1237void prev (ev_stat *w)
1097 e_destroy (w); 1296 e_destroy (w);
1098 1297
1099void set (ev_embed *w, struct ev_loop *loop) 1298void set (ev_embed *w, struct ev_loop *loop)
1100 CODE: 1299 CODE:
1101{ 1300{
1102 sv_setsv (w->fh, ST (1)); 1301 sv_setsv (e_fh (w), ST (1));
1103 RESET (embed, w, (w, loop)); 1302 RESET (embed, w, (w, loop));
1104} 1303}
1105 1304
1305SV *other (ev_embed *w)
1306 CODE:
1307 RETVAL = newSVsv (e_fh (w));
1308 OUTPUT:
1309 RETVAL
1310
1311void ev_embed_sweep (ev_embed *w)
1312 C_ARGS: e_loop (w), w
1313
1314MODULE = EV PACKAGE = EV::Async PREFIX = ev_async_
1315
1316void ev_async_start (ev_async *w)
1317 CODE:
1318 START (async, w);
1319
1320void ev_async_stop (ev_async *w)
1321 CODE:
1322 STOP (async, w);
1323
1324void DESTROY (ev_async *w)
1325 CODE:
1326 STOP (async, w);
1327 e_destroy (w);
1328
1329void ev_async_send (ev_async *w)
1330 C_ARGS: e_loop (w), w
1331
1332SV *ev_async_async_pending (ev_async *w)
1333 CODE:
1334 RETVAL = boolSV (ev_async_pending (w));
1335 OUTPUT:
1336 RETVAL
1337
1338#ifndef EV_NO_LOOPS
1339
1106MODULE = EV PACKAGE = EV::Loop PREFIX = ev_loop_ 1340MODULE = EV PACKAGE = EV::Loop PREFIX = ev_
1107 1341
1108SV *new (SV *klass, unsigned int flags = ev_supported_backends ()) 1342SV *new (SV *klass, unsigned int flags = 0)
1109 CODE: 1343 CODE:
1110{ 1344{
1111 struct ev_loop *loop = ev_loop_new (flags); 1345 struct ev_loop *loop = ev_loop_new (flags);
1112 1346
1113 if (!loop) 1347 if (!loop)
1114 XSRETURN_UNDEF; 1348 XSRETURN_UNDEF;
1115 1349
1116 RETVAL = sv_bless (newRV_noinc (newSViv (PTR2IV (evapi.default_loop))), stash_loop); 1350 RETVAL = sv_bless (newRV_noinc (newSViv (PTR2IV (loop))), stash_loop);
1117} 1351}
1118 OUTPUT: 1352 OUTPUT:
1119 RETVAL 1353 RETVAL
1120 1354
1121void DESTROY (struct ev_loop *loop) 1355void DESTROY (struct ev_loop *loop)
1122 CODE: 1356 CODE:
1123 if (loop != evapi.default_loop) /* global destruction sucks */ 1357 /* 1. the default loop shouldn't be freed by destroying it'S pelr loop object */
1358 /* 2. not doing so helps avoid many global destruction bugs in perl, too */
1359 if (loop != evapi.default_loop)
1124 ev_loop_destroy (loop); 1360 ev_loop_destroy (loop);
1125 1361
1362void ev_loop_fork (struct ev_loop *loop)
1363
1364NV ev_now (struct ev_loop *loop)
1365
1366void ev_now_update (struct ev_loop *loop)
1367
1368void ev_suspend (struct ev_loop *loop)
1369
1370void ev_resume (struct ev_loop *loop)
1371
1372void ev_set_io_collect_interval (struct ev_loop *loop, NV interval)
1373
1374void ev_set_timeout_collect_interval (struct ev_loop *loop, NV interval)
1375
1376unsigned int ev_backend (struct ev_loop *loop)
1377
1378void ev_verify (struct ev_loop *loop)
1379 ALIAS:
1380 loop_verify = 1
1381
1382unsigned int ev_iteration (struct ev_loop *loop)
1383 ALIAS:
1384 loop_count = 1
1385
1386unsigned int ev_depth (struct ev_loop *loop)
1387 ALIAS:
1388 loop_depth = 1
1389
1390void ev_run (struct ev_loop *loop, int flags = 0)
1391 ALIAS:
1392 loop = 1
1393
1394void ev_break (struct ev_loop *loop, int how = 1)
1395 ALIAS:
1396 unloop = 1
1397
1398void ev_feed_fd_event (struct ev_loop *loop, int fd, int revents = EV_NONE)
1399
1400unsigned int ev_pending_count (struct ev_loop *loop)
1401
1402void ev_invoke_pending (struct ev_loop *loop)
1403
1404#if 0
1405
1406void ev_feed_signal_event (struct ev_loop *loop, SV *signal)
1407 CODE:
1408{
1409 Signal signum = s_signum (signal);
1410 CHECK_SIG (signal, signum);
1411
1412 ev_feed_signal_event (loop, signum);
1413}
1414
1415#endif
1416
1417ev_io *io (struct ev_loop *loop, SV *fh, int events, SV *cb)
1418 ALIAS:
1419 io_ns = 1
1420 CODE:
1421{
1422 int fd = s_fileno (fh, events & EV_WRITE);
1423 CHECK_FD (fh, fd);
1424
1425 RETVAL = e_new (sizeof (ev_io), cb, ST (0));
1426 e_fh (RETVAL) = newSVsv (fh);
1427 ev_io_set (RETVAL, fd, events);
1428 if (!ix) START (io, RETVAL);
1429}
1430 OUTPUT:
1431 RETVAL
1432
1433ev_timer *timer (struct ev_loop *loop, NV after, NV repeat, SV *cb)
1434 ALIAS:
1435 timer_ns = 1
1436 INIT:
1437 CHECK_REPEAT (repeat);
1438 CODE:
1439 RETVAL = e_new (sizeof (ev_timer), cb, ST (0));
1440 ev_timer_set (RETVAL, after, repeat);
1441 if (!ix) START (timer, RETVAL);
1442 OUTPUT:
1443 RETVAL
1444
1445SV *periodic (struct ev_loop *loop, NV at, NV interval, SV *reschedule_cb, SV *cb)
1446 ALIAS:
1447 periodic_ns = 1
1448 INIT:
1449 CHECK_REPEAT (interval);
1450 CODE:
1451{
1452 ev_periodic *w;
1453 w = e_new (sizeof (ev_periodic), cb, ST (0));
1454 e_fh (w) = SvTRUE (reschedule_cb) ? newSVsv (reschedule_cb) : 0;
1455 ev_periodic_set (w, at, interval, e_fh (w) ? e_periodic_cb : 0);
1456 RETVAL = e_bless ((ev_watcher *)w, stash_periodic);
1457 if (!ix) START (periodic, w);
1458}
1459 OUTPUT:
1460 RETVAL
1461
1462ev_signal *signal (struct ev_loop *loop, SV *signal, SV *cb)
1463 ALIAS:
1464 signal_ns = 1
1465 CODE:
1466{
1467 Signal signum = s_signum (signal);
1468 CHECK_SIG (signal, signum);
1469
1470 RETVAL = e_new (sizeof (ev_signal), cb, ST (0));
1471 ev_signal_set (RETVAL, signum);
1472 if (!ix) START_SIGNAL (RETVAL);
1473}
1474 OUTPUT:
1475 RETVAL
1476
1477ev_idle *idle (struct ev_loop *loop, SV *cb)
1478 ALIAS:
1479 idle_ns = 1
1480 CODE:
1481 RETVAL = e_new (sizeof (ev_idle), cb, ST (0));
1482 ev_idle_set (RETVAL);
1483 if (!ix) START (idle, RETVAL);
1484 OUTPUT:
1485 RETVAL
1486
1487ev_prepare *prepare (struct ev_loop *loop, SV *cb)
1488 ALIAS:
1489 prepare_ns = 1
1490 CODE:
1491 RETVAL = e_new (sizeof (ev_prepare), cb, ST (0));
1492 ev_prepare_set (RETVAL);
1493 if (!ix) START (prepare, RETVAL);
1494 OUTPUT:
1495 RETVAL
1496
1497ev_check *check (struct ev_loop *loop, SV *cb)
1498 ALIAS:
1499 check_ns = 1
1500 CODE:
1501 RETVAL = e_new (sizeof (ev_check), cb, ST (0));
1502 ev_check_set (RETVAL);
1503 if (!ix) START (check, RETVAL);
1504 OUTPUT:
1505 RETVAL
1506
1507ev_fork *fork (struct ev_loop *loop, SV *cb)
1508 ALIAS:
1509 fork_ns = 1
1510 CODE:
1511 RETVAL = e_new (sizeof (ev_fork), cb, ST (0));
1512 ev_fork_set (RETVAL);
1513 if (!ix) START (fork, RETVAL);
1514 OUTPUT:
1515 RETVAL
1516
1517ev_cleanup *cleanup (struct ev_loop *loop, SV *cb)
1518 ALIAS:
1519 cleanup_ns = 1
1520 CODE:
1521 RETVAL = e_new (sizeof (ev_cleanup), cb, ST (0));
1522 ev_cleanup_set (RETVAL);
1523 if (!ix) START (cleanup, RETVAL);
1524 OUTPUT:
1525 RETVAL
1526
1527ev_child *child (struct ev_loop *loop, int pid, int trace, SV *cb)
1528 ALIAS:
1529 child_ns = 1
1530 CODE:
1531#if EV_CHILD_ENABLE
1532 RETVAL = e_new (sizeof (ev_child), cb, ST (0));
1533 ev_child_set (RETVAL, pid, trace);
1534 if (!ix) START (child, RETVAL);
1535#else
1536 croak ("EV::child watchers not supported on this platform");
1537#endif
1538 OUTPUT:
1539 RETVAL
1540
1541ev_stat *stat (struct ev_loop *loop, SV *path, NV interval, SV *cb)
1542 ALIAS:
1543 stat_ns = 1
1544 CODE:
1545 RETVAL = e_new (sizeof (ev_stat), cb, ST (0));
1546 e_fh (RETVAL) = newSVsv (path);
1547 ev_stat_set (RETVAL, SvPVbyte_nolen (e_fh (RETVAL)), interval);
1548 if (!ix) START (stat, RETVAL);
1549 OUTPUT:
1550 RETVAL
1551
1552ev_embed *embed (struct ev_loop *loop, struct ev_loop *other, SV *cb = 0)
1553 ALIAS:
1554 embed_ns = 1
1555 CODE:
1556{
1557 if (!(ev_backend (other) & ev_embeddable_backends ()))
1558 croak ("passed loop is not embeddable via EV::embed,");
1559
1560 RETVAL = e_new (sizeof (ev_embed), cb, ST (0));
1561 e_fh (RETVAL) = newSVsv (ST (1));
1562 ev_embed_set (RETVAL, other);
1563 if (!ix) START (embed, RETVAL);
1564}
1565 OUTPUT:
1566 RETVAL
1567
1568ev_async *async (struct ev_loop *loop, SV *cb)
1569 ALIAS:
1570 async_ns = 1
1571 CODE:
1572 RETVAL = e_new (sizeof (ev_async), cb, ST (0));
1573 ev_async_set (RETVAL);
1574 if (!ix) START (async, RETVAL);
1575 OUTPUT:
1576 RETVAL
1577
1578void once (struct ev_loop *loop, SV *fh, int events, SV *timeout, SV *cb)
1579 CODE:
1580 ev_once (
1581 loop,
1582 s_fileno (fh, events & EV_WRITE), events,
1583 SvOK (timeout) ? SvNV (timeout) : -1.,
1584 e_once_cb,
1585 newSVsv (cb)
1586 );
1587
1588#endif
1589

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines