ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/deliantra/server/server/cfperl.xs
(Generate patch)

Comparing deliantra/server/server/cfperl.xs (file contents):
Revision 1.269 by root, Fri Feb 1 15:54:08 2008 UTC vs.
Revision 1.280 by root, Mon Apr 21 06:35:26 2008 UTC

1/* 1/*
2 * This file is part of Deliantra, the Roguelike Realtime MMORPG. 2 * This file is part of Deliantra, the Roguelike Realtime MMORPG.
3 * 3 *
4 * Copyright (©) 2006,2007 Marc Alexander Lehmann / Robin Redeker / the Deliantra team 4 * Copyright (©) 2006,2007,2008 Marc Alexander Lehmann / Robin Redeker / the Deliantra team
5 * Copyright (©) 2001-2005,2007 by Chachkoff Yann 5 * Copyright (©) 2001-2005,2007 by Chachkoff Yann
6 * Copyright (©) 2006,2007 by Marc Lehmann <cf@schmorp.de> 6 * Copyright (©) 2006,2007 by Marc Lehmann <cf@schmorp.de>
7 * 7 *
8 * Deliantra is free software: you can redistribute it and/or modify 8 * Deliantra is free software: you can redistribute it and/or modify
9 * it under the terms of the GNU General Public License as published by 9 * it under the terms of the GNU General Public License as published by
24#include "autoconf.h" 24#include "autoconf.h"
25 25
26#define PLUGIN_NAME "perl" 26#define PLUGIN_NAME "perl"
27#define PLUGIN_VERSION "cfperl 0.5" 27#define PLUGIN_VERSION "cfperl 0.5"
28 28
29#define CEDES_PER_TICK 5
30
31#if HAVE_EXECINFO_H 29#if HAVE_EXECINFO_H
32# include <execinfo.h> 30# include <execinfo.h>
33#endif 31#endif
34 32
35#include <cstdarg> 33#include <cstdarg>
36 34
37#include "global.h" 35#include "global.h"
38#include "loader.h" 36#include "loader.h"
39#include "../random_maps/random_map.h" 37#include "../random_maps/random_map.h"
38#include "evthread.h"
40#include <sproto.h> 39#include "sproto.h"
41 40
42#include <unistd.h> 41#include <unistd.h>
43#if _POSIX_MEMLOCK 42#if _POSIX_MEMLOCK
44# include <sys/mman.h> 43# include <sys/mman.h>
45#endif 44#endif
46 45
47#if HAVE_MALLOC_H 46#if HAVE_MALLOC_H
48# include <malloc.h> 47# include <malloc.h>
49#endif 48#endif
50 49
50#if !__GLIBC__
51# define malloc_trim(pad) -1
52#endif
53
51#include <EXTERN.h> 54#include <EXTERN.h>
52#include <perl.h> 55#include <perl.h>
53#include <XSUB.h> 56#include <XSUB.h>
54 57
55#include "CoroAPI.h" 58#include "CoroAPI.h"
60typedef object_thawer &object_thawer_ref; 63typedef object_thawer &object_thawer_ref;
61typedef object_freezer &object_freezer_ref; 64typedef object_freezer &object_freezer_ref;
62 65
63typedef std::string std__string; 66typedef std::string std__string;
64 67
65#if IVSIZE >= 8
66 typedef IV val64;
67# define newSVval64 newSViv
68# define SvVAL64 SvIV
69#else
70 typedef double val64;
71# define newSVval64 newSVnv
72# define SvVAL64 SvNV
73#endif
74
75static PerlInterpreter *perl; 68static PerlInterpreter *perl;
76 69
77tstamp NOW, runtime; 70tstamp NOW, runtime;
71
72static int tick_inhibit;
73static int tick_pending;
78 74
79global gbl_ev; 75global gbl_ev;
80static AV *cb_global, *cb_attachable, *cb_object, *cb_player, *cb_client, *cb_type, *cb_map; 76static AV *cb_global, *cb_attachable, *cb_object, *cb_player, *cb_client, *cb_type, *cb_map;
81static SV *sv_runtime, *sv_next_tick, *sv_now; 77static SV *sv_runtime, *sv_tick_start, *sv_next_tick, *sv_now;
82 78
83bitset<NUM_EVENT_TYPES> ev_want_event; 79bitset<NUM_EVENT_TYPES> ev_want_event;
84bitset<NUM_TYPES> ev_want_type; 80bitset<NUM_TYPES> ev_want_type;
85 81
86static HV 82static HV
442inline SV *to_sv (living & v) { return to_sv (&v); } 438inline SV *to_sv (living & v) { return to_sv (&v); }
443 439
444inline SV *to_sv (const std::string & v) { return newSVpvn (v.data (), v.size ()); } 440inline SV *to_sv (const std::string & v) { return newSVpvn (v.data (), v.size ()); }
445inline SV *to_sv (const treasurelist *v) { return to_sv (v->name); } 441inline SV *to_sv (const treasurelist *v) { return to_sv (v->name); }
446 442
447inline SV *to_sv (UUID v) 443inline SV *to_sv (UUID v) { return newSVpv (v.c_str (), 0); }
448{
449 char buf[128];
450 snprintf (buf, 128, "<1.%" PRIx64 ">", v.seq);
451 return newSVpv (buf, 0);
452}
453 444
454inline void sv_to (SV *sv, shstr &v) { v = SvOK (sv) ? SvPVutf8_nolen (sv) : 0; } 445inline void sv_to (SV *sv, shstr &v) { v = SvOK (sv) ? SvPVutf8_nolen (sv) : 0; }
455inline void sv_to (SV *sv, char * &v) { free (v); v = SvOK (sv) ? strdup (SvPV_nolen (sv)) : 0; } 446inline void sv_to (SV *sv, char * &v) { free (v); v = SvOK (sv) ? strdup (SvPV_nolen (sv)) : 0; }
456inline void sv_to (SV *sv, bool &v) { v = SvIV (sv); } 447inline void sv_to (SV *sv, bool &v) { v = SvIV (sv); }
457inline void sv_to (SV *sv, signed char &v) { v = SvIV (sv); } 448inline void sv_to (SV *sv, signed char &v) { v = SvIV (sv); }
499 v.assign (data, len); 490 v.assign (data, len);
500} 491}
501 492
502inline void sv_to (SV *sv, UUID &v) 493inline void sv_to (SV *sv, UUID &v)
503{ 494{
504 unsigned int version; 495 if (!v.parse (SvPV_nolen (sv)))
505
506 if (2 != sscanf (SvPV_nolen (sv), "<%d.%" SCNx64 ">", &version, &v.seq) || 1 != version)
507 croak ("unparsable uuid: %s", SvPV_nolen (sv)); 496 croak ("unparsable uuid: %s", SvPV_nolen (sv));
508} 497}
509 498
510inline void sv_to (SV *sv, object::flags_t::reference v) { v = SvTRUE (sv); } 499inline void sv_to (SV *sv, object::flags_t::reference v) { v = SvTRUE (sv); }
511 500
605///////////////////////////////////////////////////////////////////////////// 594/////////////////////////////////////////////////////////////////////////////
606 595
607void 596void
608cfperl_init () 597cfperl_init ()
609{ 598{
599 extern char **environ;
600
610 PERL_SYS_INIT3 (&settings.argc, &settings.argv, 0); 601 PERL_SYS_INIT3 (&settings.argc, &settings.argv, &environ);
611 perl = perl_alloc (); 602 perl = perl_alloc ();
612 perl_construct (perl); 603 perl_construct (perl);
613 604
614 PL_exit_flags |= PERL_EXIT_DESTRUCT_END; 605 PL_exit_flags |= PERL_EXIT_DESTRUCT_END;
615 606
620 "cf->bootstrap;" // required for datadir :*> 611 "cf->bootstrap;" // required for datadir :*>
621 "unshift @INC, cf::datadir ();" 612 "unshift @INC, cf::datadir ();"
622 "require cf;" 613 "require cf;"
623 }; 614 };
624 615
625 if (perl_parse (perl, xs_init, 2, (char **)argv, (char **)NULL) 616 if (perl_parse (perl, xs_init, 2, (char **)argv, environ)
626 || perl_run (perl)) 617 || perl_run (perl))
627 { 618 {
628 printf ("unable to initialize perl-interpreter, aborting.\n"); 619 printf ("unable to initialize perl-interpreter, aborting.\n");
629 exit (EXIT_FAILURE); 620 exit (EXIT_FAILURE);
630 } 621 }
907} 898}
908 899
909///////////////////////////////////////////////////////////////////////////// 900/////////////////////////////////////////////////////////////////////////////
910// various c++ => perl glue functions 901// various c++ => perl glue functions
911 902
903void cfperl_tick ()
904{
905 tick_pending = 1;
906
907 if (tick_inhibit)
908 return;
909
910 tick_pending = 0;
911
912 dSP;
913
914 PUSHMARK (SP);
915 PUTBACK;
916 call_pv ("cf::tick", G_DISCARD | G_VOID);
917
918 SvNV_set (sv_next_tick, get_next_tick ()); SvNOK_only (sv_next_tick);
919}
920
912void 921void
913cfperl_emergency_save () 922cfperl_emergency_save ()
914{ 923{
915 CALL_BEGIN (0); 924 CALL_BEGIN (0);
916 CALL_CALL ("cf::emergency_save", G_VOID); 925 CALL_CALL ("cf::emergency_save", G_VOID);
1102///////////////////////////////////////////////////////////////////////////// 1111/////////////////////////////////////////////////////////////////////////////
1103 1112
1104struct EVAPI *evapi::GEVAPI; 1113struct EVAPI *evapi::GEVAPI;
1105struct CoroAPI *coroapi::GCoroAPI; 1114struct CoroAPI *coroapi::GCoroAPI;
1106 1115
1107int coroapi::cede_counter;
1108tstamp coroapi::next_cede;
1109
1110void coroapi::do_cede_to_tick () 1116void coroapi::do_cede_to_tick ()
1111{ 1117{
1112 cede_counter = 0; 1118 cede_pending = 0;
1113
1114 cede (); 1119 cede ();
1115
1116 next_cede += (TICK / CEDES_PER_TICK) * 0.99;
1117 if (next_cede > SvNVx (sv_next_tick) - 0.02)
1118 next_cede = SvNVx (sv_next_tick);
1119}
1120
1121void coroapi::do_cede_every ()
1122{
1123 cede_counter = 0;
1124
1125 if (coroapi::nready ())
1126 coroapi::cede ();
1127}
1128
1129void coroapi::do_cede_to_tick_every ()
1130{
1131 cede_counter = 0;
1132
1133 cede_to_tick ();
1134} 1120}
1135 1121
1136void 1122void
1137coroapi::wait_for_tick () 1123coroapi::wait_for_tick ()
1138{ 1124{
1162} 1148}
1163 1149
1164void 1150void
1165_connect_to_perl () 1151_connect_to_perl ()
1166{ 1152{
1167 stash_cf = gv_stashpv ("cf" , 1); 1153 stash_cf = gv_stashpv ("cf", 1);
1168 1154
1169 stash_cf_object_wrap = gv_stashpv ("cf::object::wrap", 1); 1155 stash_cf_object_wrap = gv_stashpv ("cf::object::wrap", 1);
1170 stash_cf_object_player_wrap = gv_stashpv ("cf::object::player::wrap", 1); 1156 stash_cf_object_player_wrap = gv_stashpv ("cf::object::player::wrap", 1);
1171 stash_cf_player_wrap = gv_stashpv ("cf::player::wrap", 1); 1157 stash_cf_player_wrap = gv_stashpv ("cf::player::wrap", 1);
1172 stash_cf_map_wrap = gv_stashpv ("cf::map::wrap" , 1); 1158 stash_cf_map_wrap = gv_stashpv ("cf::map::wrap" , 1);
1174 stash_cf_arch_wrap = gv_stashpv ("cf::arch::wrap" , 1); 1160 stash_cf_arch_wrap = gv_stashpv ("cf::arch::wrap" , 1);
1175 stash_cf_party_wrap = gv_stashpv ("cf::party::wrap" , 1); 1161 stash_cf_party_wrap = gv_stashpv ("cf::party::wrap" , 1);
1176 stash_cf_region_wrap = gv_stashpv ("cf::region::wrap", 1); 1162 stash_cf_region_wrap = gv_stashpv ("cf::region::wrap", 1);
1177 stash_cf_living_wrap = gv_stashpv ("cf::living::wrap", 1); 1163 stash_cf_living_wrap = gv_stashpv ("cf::living::wrap", 1);
1178 1164
1179 sv_now = get_sv ("cf::NOW" , 1); SvUPGRADE (sv_now , SVt_NV); 1165 sv_now = get_sv ("cf::NOW" , 1); SvUPGRADE (sv_now , SVt_NV);
1180 sv_runtime = get_sv ("cf::RUNTIME" , 1); SvUPGRADE (sv_runtime , SVt_NV); 1166 sv_runtime = get_sv ("cf::RUNTIME" , 1); SvUPGRADE (sv_runtime , SVt_NV);
1167 sv_tick_start = get_sv ("cf::TICK_START", 1); SvUPGRADE (sv_tick_start, SVt_NV);
1181 sv_next_tick = get_sv ("cf::NEXT_TICK", 1); SvUPGRADE (sv_next_tick, SVt_NV); 1168 sv_next_tick = get_sv ("cf::NEXT_TICK" , 1); SvUPGRADE (sv_next_tick , SVt_NV);
1182 1169
1183 cb_global = get_av ("cf::CB_GLOBAL", 1); 1170 cb_global = get_av ("cf::CB_GLOBAL", 1);
1184 cb_attachable = get_av ("cf::CB_ATTACHABLE", 1); 1171 cb_attachable = get_av ("cf::CB_ATTACHABLE", 1);
1185 cb_object = get_av ("cf::CB_OBJECT", 1); 1172 cb_object = get_av ("cf::CB_OBJECT", 1);
1186 cb_player = get_av ("cf::CB_PLAYER", 1); 1173 cb_player = get_av ("cf::CB_PLAYER", 1);
1419 const_iv (ATNR_PARALYZE) const_iv (ATNR_TURN_UNDEAD) const_iv (ATNR_FEAR) const_iv (ATNR_CANCELLATION) 1406 const_iv (ATNR_PARALYZE) const_iv (ATNR_TURN_UNDEAD) const_iv (ATNR_FEAR) const_iv (ATNR_CANCELLATION)
1420 const_iv (ATNR_DEPLETE) const_iv (ATNR_DEATH) const_iv (ATNR_CHAOS) const_iv (ATNR_COUNTERSPELL) 1407 const_iv (ATNR_DEPLETE) const_iv (ATNR_DEATH) const_iv (ATNR_CHAOS) const_iv (ATNR_COUNTERSPELL)
1421 const_iv (ATNR_GODPOWER) const_iv (ATNR_HOLYWORD) const_iv (ATNR_BLIND) const_iv (ATNR_INTERNAL) 1408 const_iv (ATNR_GODPOWER) const_iv (ATNR_HOLYWORD) const_iv (ATNR_BLIND) const_iv (ATNR_INTERNAL)
1422 const_iv (ATNR_LIFE_STEALING) const_iv (ATNR_DISEASE) 1409 const_iv (ATNR_LIFE_STEALING) const_iv (ATNR_DISEASE)
1423 1410
1424 const_iv (MAP_IN_MEMORY) const_iv (MAP_SWAPPED) const_iv (MAP_LOADING) const_iv (MAP_SAVING) 1411 const_iv (MAP_ACTIVE) const_iv (MAP_SWAPPED) const_iv (MAP_LOADING) const_iv (MAP_SAVING)
1412 const_iv (MAP_INACTIVE)
1425 1413
1426 const_iv (KLASS_ATTACHABLE) const_iv (KLASS_GLOBAL) const_iv (KLASS_OBJECT) 1414 const_iv (KLASS_ATTACHABLE) const_iv (KLASS_GLOBAL) const_iv (KLASS_OBJECT)
1427 const_iv (KLASS_CLIENT) const_iv (KLASS_PLAYER) const_iv (KLASS_MAP) 1415 const_iv (KLASS_CLIENT) const_iv (KLASS_PLAYER) const_iv (KLASS_MAP)
1428 1416
1429 const_iv (VERSION_CS) const_iv (VERSION_SC) 1417 const_iv (VERSION_CS) const_iv (VERSION_SC)
1534 } 1522 }
1535 1523
1536 SvCUR_set (data_sv, dst - SvPVX (data_sv)); 1524 SvCUR_set (data_sv, dst - SvPVX (data_sv));
1537} 1525}
1538 1526
1527void evthread_start (int aiofd)
1528
1539void _post_tick () 1529void cede_to_tick ()
1540 CODE: 1530 CODE:
1541 coroapi::next_cede = SvNVx (sv_next_tick) - TICK * (1. - 1. / CEDES_PER_TICK); 1531 coroapi::cede_to_tick ();
1542 1532
1543NV till_cede () 1533NV till_tick ()
1544 CODE: 1534 CODE:
1545 RETVAL = coroapi::next_cede - now (); 1535 RETVAL = SvNVX (sv_next_tick) - now ();
1546 OUTPUT: 1536 OUTPUT:
1547 RETVAL 1537 RETVAL
1548 1538
1549NV till_tick () 1539int tick_inhibit ()
1550 CODE: 1540 CODE:
1551 RETVAL = SvNVx (sv_next_tick) - now (); 1541 RETVAL = tick_inhibit;
1552 OUTPUT: 1542 OUTPUT:
1553 RETVAL 1543 RETVAL
1544
1545void tick_inhibit_inc ()
1546 CODE:
1547 ++tick_inhibit;
1548
1549void tick_inhibit_dec ()
1550 CODE:
1551 if (!--tick_inhibit)
1552 if (tick_pending)
1553 {
1554 ev_async_send (EV_DEFAULT, &tick_watcher);
1555 coroapi::cede ();
1556 }
1557
1558void server_tick ()
1559 CODE:
1560{
1561 NOW = ev_now (EV_DEFAULT);
1562 SvNV_set (sv_now, NOW); SvNOK_only (sv_now);
1563 SvNV_set (sv_tick_start, NOW); SvNOK_only (sv_tick_start);
1564 runtime = SvNVX (sv_runtime);
1565
1566 server_tick ();
1567
1568 NOW = ev_time ();
1569 SvNV_set (sv_now, NOW); SvNOK_only (sv_now);
1570 runtime += TICK;
1571 SvNV_set (sv_runtime, runtime); SvNOK_only (sv_runtime);
1572}
1554 1573
1555NV floor (NV x) 1574NV floor (NV x)
1556 1575
1557NV ceil (NV x) 1576NV ceil (NV x)
1558 1577
1584 PROTOTYPE: @ 1603 PROTOTYPE: @
1585 CODE: 1604 CODE:
1586 while (items > 0) 1605 while (items > 0)
1587 sv_rvweaken (ST (--items)); 1606 sv_rvweaken (ST (--items));
1588 1607
1589void cede_to_tick ()
1590 CODE:
1591 coroapi::cede_to_tick ();
1592
1593void server_tick ()
1594 CODE:
1595 NOW = SvNVx (sv_now);
1596 runtime = SvNVx (sv_runtime);
1597 server_tick ();
1598
1599void 1608void
1600log_backtrace (utf8_string msg) 1609log_backtrace (utf8_string msg)
1601 1610
1602void 1611void
1603LOG (int flags, utf8_string msg) 1612LOG (int flags, utf8_string msg)
1607octet_string path_combine (octet_string base, octet_string path) 1616octet_string path_combine (octet_string base, octet_string path)
1608 PROTOTYPE: $$ 1617 PROTOTYPE: $$
1609 1618
1610octet_string path_combine_and_normalize (octet_string base, octet_string path) 1619octet_string path_combine_and_normalize (octet_string base, octet_string path)
1611 PROTOTYPE: $$ 1620 PROTOTYPE: $$
1612
1613void
1614mallinfo ()
1615 PPCODE:
1616{
1617#if __GLIBC__
1618 struct mallinfo mai = mallinfo ();
1619 EXTEND (SP, 10*2);
1620 PUSHs (sv_2mortal (newSVpv ("arena" , 0))); PUSHs (sv_2mortal (newSViv (mai.arena)));
1621 PUSHs (sv_2mortal (newSVpv ("ordblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.ordblks)));
1622 PUSHs (sv_2mortal (newSVpv ("smblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.smblks)));
1623 PUSHs (sv_2mortal (newSVpv ("hblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.hblks)));
1624 PUSHs (sv_2mortal (newSVpv ("hblkhd" , 0))); PUSHs (sv_2mortal (newSViv (mai.hblkhd)));
1625 PUSHs (sv_2mortal (newSVpv ("usmblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.usmblks)));
1626 PUSHs (sv_2mortal (newSVpv ("fsmblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.fsmblks)));
1627 PUSHs (sv_2mortal (newSVpv ("uordblks", 0))); PUSHs (sv_2mortal (newSViv (mai.uordblks)));
1628 PUSHs (sv_2mortal (newSVpv ("fordblks", 0))); PUSHs (sv_2mortal (newSViv (mai.fordblks)));
1629 PUSHs (sv_2mortal (newSVpv ("keepcost", 0))); PUSHs (sv_2mortal (newSViv (mai.keepcost)));
1630#endif
1631 EXTEND (SP, 2*2);
1632 PUSHs (sv_2mortal (newSVpv ("slice_alloc", 0))); PUSHs (sv_2mortal (newSVuv (slice_alloc)));
1633 PUSHs (sv_2mortal (newSVpv ("shstr_alloc", 0))); PUSHs (sv_2mortal (newSVuv (shstr_alloc)));
1634}
1635 1621
1636void 1622void
1637sub_generation_inc () 1623sub_generation_inc ()
1638 CODE: 1624 CODE:
1639 PL_sub_generation++; 1625 PL_sub_generation++;
1664 1650
1665void abort () 1651void abort ()
1666 1652
1667void reset_signals () 1653void reset_signals ()
1668 1654
1669void fork_abort (octet_string cause = "cf::fork_abort") 1655void fork_abort (const_octet_string cause = "cf::fork_abort")
1670 1656
1671void cleanup (octet_string cause, bool make_core = false) 1657void cleanup (const_octet_string cause, bool make_core = false)
1672 1658
1673void emergency_save () 1659void emergency_save ()
1674 1660
1675void _exit (int status = EXIT_SUCCESS) 1661void _exit (int status = EXIT_SUCCESS)
1676 1662
1677#if _POSIX_MEMLOCK 1663#if _POSIX_MEMLOCK
1678 1664
1679int mlockall (int flags = MCL_CURRENT | MCL_FUTURE) 1665int mlockall (int flags = MCL_CURRENT | MCL_FUTURE)
1666 INIT:
1667#if __GLIBC__
1668 mallopt (M_PERTURB, 0xee); // bug-workaround for linux glibc+mlockall+calloc
1669#endif
1680 1670
1681int munlockall () 1671int munlockall ()
1682 1672
1683#endif 1673#endif
1674
1675int
1676malloc_trim (IV pad = 0)
1677
1678void
1679mallinfo ()
1680 PPCODE:
1681{
1682#if __GLIBC__
1683 struct mallinfo mai = mallinfo ();
1684 EXTEND (SP, 10*2);
1685 PUSHs (sv_2mortal (newSVpv ("arena" , 0))); PUSHs (sv_2mortal (newSViv (mai.arena)));
1686 PUSHs (sv_2mortal (newSVpv ("ordblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.ordblks)));
1687 PUSHs (sv_2mortal (newSVpv ("smblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.smblks)));
1688 PUSHs (sv_2mortal (newSVpv ("hblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.hblks)));
1689 PUSHs (sv_2mortal (newSVpv ("hblkhd" , 0))); PUSHs (sv_2mortal (newSViv (mai.hblkhd)));
1690 PUSHs (sv_2mortal (newSVpv ("usmblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.usmblks)));
1691 PUSHs (sv_2mortal (newSVpv ("fsmblks" , 0))); PUSHs (sv_2mortal (newSViv (mai.fsmblks)));
1692 PUSHs (sv_2mortal (newSVpv ("uordblks", 0))); PUSHs (sv_2mortal (newSViv (mai.uordblks)));
1693 PUSHs (sv_2mortal (newSVpv ("fordblks", 0))); PUSHs (sv_2mortal (newSViv (mai.fordblks)));
1694 PUSHs (sv_2mortal (newSVpv ("keepcost", 0))); PUSHs (sv_2mortal (newSViv (mai.keepcost)));
1695#endif
1696 EXTEND (SP, 2*2);
1697 PUSHs (sv_2mortal (newSVpv ("slice_alloc", 0))); PUSHs (sv_2mortal (newSVuv (slice_alloc)));
1698 PUSHs (sv_2mortal (newSVpv ("shstr_alloc", 0))); PUSHs (sv_2mortal (newSVuv (shstr_alloc)));
1699}
1684 1700
1685int find_animation (utf8_string text) 1701int find_animation (utf8_string text)
1686 PROTOTYPE: $ 1702 PROTOTYPE: $
1687 1703
1688int random_roll (int min, int max, object *op, int goodbad); 1704int random_roll (int min, int max, object *op, int goodbad);
1726 RETVAL = newSVpv (resist_plus[atnr], 0); 1742 RETVAL = newSVpv (resist_plus[atnr], 0);
1727 else 1743 else
1728 XSRETURN_UNDEF; 1744 XSRETURN_UNDEF;
1729 OUTPUT: RETVAL 1745 OUTPUT: RETVAL
1730 1746
1747UUID
1748uuid_cur ()
1749 CODE:
1750 RETVAL = UUID::cur;
1751 OUTPUT:
1752 RETVAL
1753
1754UUID
1755uuid_gen ()
1756 CODE:
1757 RETVAL = UUID::gen ();
1758 OUTPUT:
1759 RETVAL
1760
1761val64
1762uuid_seq (UUID uuid)
1763 CODE:
1764 RETVAL = uuid.seq;
1765 OUTPUT:
1766 RETVAL
1767
1768UUID
1769uuid_str (val64 seq)
1770 CODE:
1771 RETVAL.seq = seq;
1772 OUTPUT:
1773 RETVAL
1774
1775void
1776coin_names ()
1777 PPCODE:
1778 EXTEND (SP, NUM_COINS);
1779 for (int i = 0; i < NUM_COINS; ++i)
1780 PUSHs (sv_2mortal (newSVpv (coins [i], 0)));
1781
1782void
1783coin_archetypes ()
1784 PPCODE:
1785 EXTEND (SP, NUM_COINS);
1786 for (int i = 0; i < NUM_COINS; ++i)
1787 PUSHs (sv_2mortal (to_sv (archetype::find (coins [i]))));
1788
1731bool 1789bool
1732load_resource_file (octet_string filename) 1790load_resource_file_ (octet_string filename)
1733 1791
1734MODULE = cf PACKAGE = cf::attachable 1792MODULE = cf PACKAGE = cf::attachable
1735 1793
1736int 1794int
1737valid (SV *obj) 1795valid (SV *obj)
2020const_utf8_string 2078const_utf8_string
2021base_name (object *op, int plural = op->nrof > 1) 2079base_name (object *op, int plural = op->nrof > 1)
2022 CODE: 2080 CODE:
2023 RETVAL = query_base_name (op, plural); 2081 RETVAL = query_base_name (op, plural);
2024 OUTPUT: RETVAL 2082 OUTPUT: RETVAL
2025
2026object *decrease_ob_nr (object *op, unsigned long i)
2027 2083
2028# return the tail of an object, excluding itself 2084# return the tail of an object, excluding itself
2029void 2085void
2030tail (object *op) 2086tail (object *op)
2031 PPCODE: 2087 PPCODE:
2799extract_tags (object_thawer *self) 2855extract_tags (object_thawer *self)
2800 PPCODE: 2856 PPCODE:
2801 while (self->kw != KW_EOF) 2857 while (self->kw != KW_EOF)
2802 { 2858 {
2803 PUTBACK; 2859 PUTBACK;
2804 coroapi::cede_to_tick_every (5000); 2860 coroapi::cede_to_tick ();
2805 SPAGAIN; 2861 SPAGAIN;
2806 2862
2807 if (self->kw == KW_tag) 2863 if (self->kw == KW_tag)
2808 XPUSHs (sv_2mortal (newSVpv_utf8 (self->get_str ()))); 2864 XPUSHs (sv_2mortal (newSVpv_utf8 (self->get_str ())));
2809 2865

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines