ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.13 by root, Wed Dec 3 02:13:26 2014 UTC vs.
Revision 1.29 by root, Fri Dec 5 06:32:28 2014 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20use 5.010;
20use strict; 21use strict;
22use integer;
21#use common::sense; 23#use common::sense;
22 24
23my $VT102 = 1; 25my $VT102 = 1;
24my $VT131 = 0; 26my $VT131 = 0;
25my $AVO = 1; 27my $AVO = 1;
26my $KBD = 1;
27 28
28shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/; 29shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
29shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/; 30shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
30shift if $ARGV[0] =~ /^-?-vt102$/; 31shift if $ARGV[0] =~ /^-?-vt102$/;
31shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/; 32shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
32 33
34# vt100 wps = word processing roms
35# vt101 = vt102 - avo, but custom rom? really?
36# vt103 = vt100 + tu58 tape drive
37# vt125 = vt100 + gpo graphics processor
38# vt132 = vt100 + avo, stp
39# vt180 = vt100 + z80 cp/m
40
33if ($ARGV[0] =~ /^-/) { 41if ($ARGV[0] =~ /^-/) {
34 die <<EOF; 42 die <<EOF;
35 43
36VT102, A VT100/101/102/131 SIMULATOR 44VT102, A VT100/102/131 SIMULATOR
37 45
38Usage: 46Usage:
39 47
40 $0 [option] [program [args]] 48 $0 [option] [program [args]]
41 49
73} 81}
74 82
75############################################################################# 83#############################################################################
76# ROM/hardware init 84# ROM/hardware init
77 85
86my $PTY; # the pty we allocated, if any
87my $KBD = 1;
88
78my $ROMS = do { 89my $ROMS = do {
79 binmode DATA; 90 binmode DATA;
80 local $/; 91 local $/;
81 <DATA> 92 <DATA>
82}; 93};
83 94
840x6801 == length $ROMS or die "corrupted rom image"; 950x6801 == length $ROMS or die "corrupted rom image";
85
86binmode STDOUT;
87 96
88my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 97my @M = (0xff) x 65536; # main memory, = (0xff) x 65536;
89 98
90# populate mem with rom contents 99# populate mem with rom contents
91if ($VT102) { 100if ($VT102) {
97} 106}
98 107
99############################################################################# 108#############################################################################
100# 8085 CPU registers and I/O support 109# 8085 CPU registers and I/O support
101 110
102my $PTY; # the pty we allocated, if any
103
104# 8080/8085 registers 111# 8080/8085 registers
105# b, c, d, e, h, l, a 112my ($A, $B, $C, $D, $E, $H, $L); # 8 bit general purpose
106my ($A, $B, $C, $D, $E, $H, $L, $A); 113my ($PC, $SP, $IFF); # program counter, stack pointer, interrupt flag
107my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 114my ($FA, $FZ, $FS, $FP, $FC); # condition codes (psw)
108 115
109my $RST = 0; # 8080 pending interrupts 116my $RST = 0; # pending interrupts (external interrupt logic)
110my $INTMASK = 7; # 8085 half interrupts 117my $INTMASK = 7; # 8085 half interrupt mask
111my $INTPEND = 0; # 8085 half interrupts 118my $INTPEND = 0; # 8085 half interrupts pending
112 119
113my $x; # dummy temp for instructions 120my $CLK; # rather inexact clock, counts extended basic blocks
114
115my $CLK; # rather inexact clock
116 121
117############################################################################# 122#############################################################################
118# the dreaded NVR1400 chip. not needed to get it going, but provided anyway 123# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
119 124
120# nvram 125# nvram
132 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase 137 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
133 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read 138 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
134 sub { }, # 7 standby 139 sub { }, # 7 standby
135); 140);
136 141
137my @bitidx; 142my @NVR_BITIDX; $NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
138$bitidx[1 << $_] = 9 - $_ for 0..9;
139 143
140# the nvr1400 state machine. what a monster 144# the nvr1400 state machine. what a monster
141sub nvr() { 145sub nvr() {
142 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 146 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
143 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 147 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
144
145# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
146 148
147 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 149 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
148} 150}
149 151
150############################################################################# 152#############################################################################
151# I/O ports - output 153# I/O ports - output
152 154
153my $DC11_REVERSE = 0; 155my $DC11_REVERSE = 0; # light background?
154 156
155my $XON = 1; # false if terminal wants us to pause 157my $XON = 1; # false if terminal wants us to pause
156my $PUSARTCMD; 158my $PUSARTCMD;
157 159
160my $KSTATUS; # keyboard status (click + scan flag + leds)
158my @KXMIT; # current scan queue 161my @KXMIT; # current scan queue
159my %KXMIT; # currently pressed keys 162my %KXMIT; # currently pressed keys
160my @KQUEUE; # key event queue 163my @KQUEUE; # key event queue
161my $KXCNT; # count for debouncew 164my $KXCNT; # count for debouncew
162my @PUSARTRECV; 165
163my $KSTATUS; 166my @PUSARTRECV; # serial input (to terminal) queue
164 167
165sub out_00 { # pusartdata 168sub out_00 { # pusartdata
166 # handle xon/xoff, but also pass it through 169 # handle xon/xoff, but also pass it through
167 if ($_[0] == 0x13) { 170 if ($_[0] == 0x13) {
168 $XON = 0; 171 $XON = 0;
175 syswrite $PTY, chr $_[0]; 178 syswrite $PTY, chr $_[0];
176 179
177 $INTPEND |= 1; 180 $INTPEND |= 1;
178} 181}
179 182
180sub out_01 { 183sub out_01 { # pusartcmd
181 $PUSARTCMD = shift; 184 $PUSARTCMD = shift;
182 185
183 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy 186 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy
184 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason 187 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason
185} 188}
186 189
187sub out_02 { } # baudrate generator 190sub out_02 { } # baudrate generator
188 191
189sub out_23 { } # unknown 192sub out_23 { } # vt102 unknown
190sub out_27 { } # unknown 193sub out_27 { } # vt102 unknown
191sub out_2f { } # unknown, connected to in 0f 194sub out_2f { } # vt102 unknown, connected to in 0f
192 195
193sub out_42 { } # brightness 196sub out_42 { } # brightness
194 197
195sub out_62 { 198sub out_62 { # nvr latch register (4 bits)
196 $NVRLATCH = shift; 199 $NVRLATCH = shift;
197} 200}
198 201
199sub out_a2 { 202sub out_a2 { # device control 011
200 my $dc11 = 0x0f & shift; 203 my $dc11 = 0x0f & shift;
201 204
202 $DC11_REVERSE = 1 if $dc11 == 0b1010; 205 $DC11_REVERSE = 1 if $dc11 == 0b1010;
203 $DC11_REVERSE = 0 if $dc11 == 0b1011; 206 $DC11_REVERSE = 0 if $dc11 == 0b1011;
204} 207}
205 208
206sub out_c2 { } # unknown 209sub out_c2 { } # unknown
207sub out_d2 { } # 0..3 == 80c/132c/60hz/50hz 210sub out_d2 { } # device control 012, 0..3 == 80c/132c/60hz/50hz
208 211
209sub out_82 { 212sub out_82 { # keyboard txmit
210 # keyboard 213 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4 (vt100)
211
212 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1 214 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1 (vt102)
213 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4
214 $KSTATUS = $_[0]; 215 $KSTATUS = $_[0];
215 216
216 # start new scan unless scan in progress 217 # start new scan unless scan is in progress
217 if (($_[0] & 0x40) && !@KXMIT) { 218 if (($_[0] & 0x40) && !@KXMIT) {
218 # do not reply with keys in locked mode 219 # do not reply with keys in locked mode
219 # or during post (0xff), 220 # or during post (0xff),
220 # mostly to skip init and not fail POST, 221 # mostly to skip init and not fail POST,
221 # and to send startup keys only when terminal is ready 222 # and to send startup keys only when terminal is ready
243} 244}
244 245
245############################################################################# 246#############################################################################
246# I/O ports - input 247# I/O ports - input
247 248
248my $NVRBIT; 249my $NVRBIT; # the current nvr data bit
249my $LBA6; # twice the frequenxy of LBA7 250my $LBA6; # twice the frequenxy of LBA7
250 251
251sub in_00 { # pusart data 252sub in_00 { # pusart data
252 # interrupt not generated here, because infinite 253 # interrupt not generated here, because infinite
253 # speed does not go well with the vt102. 254 # speed does not go well with the vt102.
254 255
258sub in_01 { # pusart status 259sub in_01 { # pusart status
259 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY 260 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY
260 0x85 + (@PUSARTRECV && 0x02) 261 0x85 + (@PUSARTRECV && 0x02)
261} 262}
262 263
263sub in_22 { # modem buffer(?) 264sub in_22 { # modem buffer
264 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 265 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
265 0x20 266 0x20
266} 267}
267 268
268sub in_0f { 0xff } # vt102 unknown, connected to out 2f 269sub in_0f { 0xff } # vt102 unknown, connected to out 2f
295sub in_1b { 0xff } # vt102 unknown 296sub in_1b { 0xff } # vt102 unknown
296 297
297############################################################################# 298#############################################################################
298# 8085 cpu opcodes and flag handling 299# 8085 cpu opcodes and flag handling
299 300
301my $x; # dummy scratchpad for opcodes
302
300sub sf { # set flags (ZSC - AP not implemented) 303sub sf { # set flags, full version (ZSC - AP not implemented)
301 $FS = $_[0] & 0x080; 304 $FS = $_[0] & 0x080;
302 $FZ = !($_[0] & 0x0ff); 305 $FZ = !($_[0] & 0x0ff);
303 $FC = $_[0] & 0x100; 306 $FC = $_[0] & 0x100;
304 307
305 $_[0] &= 0xff; 308 $_[0] &= 0xff;
306} 309}
307 310
308sub sf8 { # set flags (ZSC - AP not implemented) 311sub sf8 { # set flags, for 8-bit results (ZSC - AP not implemented)
309 $FS = $_[0] & 0x080; 312 $FS = $_[0] & 0x080;
310 $FZ = !($_[0] & 0x0ff); 313 $FZ = !($_[0] & 0x0ff);
311 $FC = 0; 314 $FC = 0;
312} 315}
313 316
314sub sf_nc { # set flags except carry 317sub sf_nc { # set flags, except carry
315 $FS = $_[0] & 0x080; 318 $FS = $_[0] & 0x080;
316 $FZ = ($_[0] & 0x0ff) == 0; 319 $FZ = ($_[0] & 0x0ff) == 0;
317 320
318 $_[0] &= 0xff; 321 $_[0] &= 0xff;
319} 322}
320 323
324# opcode table
321my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff; 325my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
322 326
323my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 327my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); # r/m encoding
324my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 328my @cc = ('!$FZ', '$FZ', '!$FC', '$FC', 'die;', 'die;', '!$FS', '$FS'); # cc encoding. die == unimplemented $FP parity
325 329
326$op[0x00] = ''; 330$op[0x00] = ''; # nop
327 331
328# mov r,r / r,M / M,r 332# mov r,r / r,M / M,r
329for my $s (0..7) { 333for my $s (0..7) {
330 for my $d (0..7) { 334 for my $d (0..7) {
331 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov 335 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
371$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr 375$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
372$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr 376$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
373 377
374$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc 378$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
375$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral 379$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
376
377$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 380$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
378$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar 381$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
379
380$op[0x2f] = '$A ^= 0xff'; # cma
381 382
382# getting this insn wrong (its the only 16 bit insn to modify flags) 383# getting this insn wrong (its the only 16 bit insn to modify flags)
383# wasted three of my best days with mindless vt102 rom reverse engineering 384# wasted three of my best days with mindless vt102 rom reverse engineering
384sub dad { 385sub dad {
385 $x = $H * 256 + $L + $_[0]; 386 $x = $H * 256 + $L + $_[0];
390 391
391$op[0x09] = 'dad $B * 256 + $C'; # dad 392$op[0x09] = 'dad $B * 256 + $C'; # dad
392$op[0x19] = 'dad $D * 256 + $E'; # dad 393$op[0x19] = 'dad $D * 256 + $E'; # dad
393$op[0x29] = 'dad $H * 256 + $L'; # dad 394$op[0x29] = 'dad $H * 256 + $L'; # dad
394$op[0x39] = 'dad $SP '; # dad 395$op[0x39] = 'dad $SP '; # dad
396
397$op[0x2f] = '$A ^= 0xff'; # cma
395 398
396$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add 399$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
397$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc 400$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
398$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub 401$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
399$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb 402$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
400$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana 403$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
401$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra 404$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
402$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora 405$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
403$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp 406$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
404# possible todo: optimize ora a, maybe xra a 407# possible todo: optimize ora a, maybe xra a, possibly ana
405 408
406$op[0xc6] = 'sf $A += IMM8'; # adi 409$op[0xc6] = 'sf $A += IMM8'; # adi
407# ce ADI NYI
408$op[0xd6] = 'sf $A -= IMM8'; # sui 410$op[0xd6] = 'sf $A -= IMM8'; # sui
409# de SBI NYI
410$op[0xe6] = 'sf8 $A &= IMM8'; # ani 411$op[0xe6] = 'sf8 $A &= IMM8'; # ani
411$op[0xee] = 'sf8 $A ^= IMM8'; # xri 412$op[0xee] = 'sf8 $A ^= IMM8'; # xri
412$op[0xf6] = 'sf8 $A |= IMM8'; # ori 413$op[0xf6] = 'sf8 $A |= IMM8'; # ori
413$op[0xfe] = 'sf $A - IMM8'; # cpi 414$op[0xfe] = 'sf $A - IMM8'; # cpi
415# ce ACI NYI, apparently unused
416# de SBI NYI, apparently unused
414 417
415$op[0xc5] = 'PUSH $B; PUSH $C'; 418$op[0xc5] = 'PUSH $B; PUSH $C';
416$op[0xd5] = 'PUSH $D; PUSH $E'; 419$op[0xd5] = 'PUSH $D; PUSH $E';
417$op[0xe5] = 'PUSH $H; PUSH $L'; 420$op[0xe5] = 'PUSH $H; PUSH $L';
418$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw 421$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
420$op[0xc1] = '($C, $B) = (POP, POP)'; # pop 423$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
421$op[0xd1] = '($E, $D) = (POP, POP)'; # pop 424$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
422$op[0xe1] = '($L, $H) = (POP, POP)'; # pop 425$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
423$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw 426$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
424 427
425$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc 428$op[0xc2 + $_ * 8] = 'BRA IMM16 if ' . $cc[$_] for 0..7; # jcc
426$op[0xc3] = 'JMP IMM16'; # jmp 429$op[0xc3] = 'JMP IMM16'; # jmp
427 430
428$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc 431$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) if ' . $cc[$_] for 0..7; # ccc
429$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call 432$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
430 433
431$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc 434$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 if ' . $cc[$_] for 0..7; # rcc
432$op[0xc9] = 'JMP POP + POP * 256'; # ret 435$op[0xc9] = 'JMP POP + POP * 256'; # ret
433 436
434$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst 437$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
435 438
436$op[0xe9] = 'JMP $H * 256 + $L'; # pchl 439$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
437# f9 SPHL NYI 440# f9 SPHL NYI, apparently unused
438 441
439$op[0x37] = '$FC = 1 '; # stc 442$op[0x37] = '$FC = 1 '; # stc
440$op[0x3f] = '$FC = !$FC'; # cmc 443$op[0x3f] = '$FC = !$FC'; # cmc
441 444
442$op[0xd3] = 'OUT'; # out 445$op[0xd3] = 'OUT'; # out
443$op[0xdb] = 'IN'; # in 446$op[0xdb] = 'IN'; # in
444 447
445$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg 448$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
446 449
447# e3 xthl NYI # @ 917b, hl <-> (sp) 450# e3 xthl NYI # @ 917b in e69, hl <-> (sp)
448 451
449$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 452$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (8085, incomplete)
450$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 453$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (8085, incomplete)
451 454
452$op[0xf3] = '$IFF = 0'; # DI 455$op[0xf3] = '$IFF = 0'; # di
453$op[0xfb] = '$IFF = 1'; # EI 456$op[0xfb] = '$IFF = 1'; # ei
454 457
455# yeah, the fucking setup screens actually use daa... 458# yeah, the fucking setup screen actually uses daa...
456$op[0x27] = ' 459$op[0x27] = '
457 my ($h, $l); 460 my ($h, $l);
458 461
459 ($h, $l) = ($A >> 4, $A & 15); 462 ($h, $l) = ($A >> 4, $A & 15);
460 463
468 $A = ($h * 16 + $l) & 0xff; 471 $A = ($h * 16 + $l) & 0xff;
469 } 472 }
470'; # daa, almost certainly borked, also, acarry not set by sf 473'; # daa, almost certainly borked, also, acarry not set by sf
471 474
472############################################################################# 475#############################################################################
473# print cpu status for debugging purposes 476# debug
474 477
475# print cpu status, for debugging 478# print cpu status, for debugging
476sub status { 479sub status {
477 my $PC = shift || $PC; 480 my $PC = shift || $PC;
478 481
488} 491}
489 492
490############################################################################# 493#############################################################################
491# video emulation 494# video emulation
492 495
493my @CHARMAP = ( 496binmode STDOUT;
497
498my @CHARMAP = ( # acschars / chars 0..31
494 " " , "\x{29eb}", "\x{2592}", "\x{2409}", 499 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
495 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}", 500 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
496 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}", 501 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
497 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}", 502 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
498 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}", 503 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
524 529
525my @LED = $VT102 530my @LED = $VT102
526 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP) 531 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
527 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP); 532 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
528 533
534my $CURSOR_IS_ON;
535
529# display screen 536# display screen
530sub display { 537sub display {
538 # this is for the powersave mode - check whether the cursor is on here,
539 # and only allow powersave later when it was on the last display time
540 $CURSOR_IS_ON = $M[$VT102 ? 0x207b : 0x21ba];
541
531 my $i = 0x2000; 542 my $i = 0x2000;
532 543
533 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED; 544 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
534 545
535 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK; 546 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
603 "\e[7~" => 0x7b, # SETUP (home) 614 "\e[7~" => 0x7b, # SETUP (home)
604 "\e[8~" => 0x23, # BREAK (end) 615 "\e[8~" => 0x23, # BREAK (end)
605 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end) 616 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
606 "\x7f" => 0x33, # BACKSPACE 617 "\x7f" => 0x33, # BACKSPACE
607 618
608 "\e[11~" => 0x32, # F1 619 "\e[11~" => 0x32, # PF1
609 "\e[11~" => 0x42, # F2 620 "\e[12~" => 0x42, # PF2
610 "\e[11~" => 0x31, # F3 621 "\e[13~" => 0x31, # PF3
611 "\e[11~" => 0x41, # F4 622 "\e[14~" => 0x41, # PF4
612); 623);
613 624
614@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*", 625@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
615 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40 626 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
616 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e 627 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
619$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift 630$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
620 631
621my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 632my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
622$KEYMATCH = qr{^($KEYMATCH)}s; 633$KEYMATCH = qr{^($KEYMATCH)}s;
623 634
624my %KMOD; 635my %KMOD; # currently pressed modifier keys
625 636
626sub key { 637sub key {
627 my ($key) = @_; 638 my ($key) = @_;
628 639
629 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up 640 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
645 # skip input we can't decipher 656 # skip input we can't decipher
646 substr $STDIN_BUF, 0, 1, ""; 657 substr $STDIN_BUF, 0, 1, "";
647} 658}
648 659
649if ($KBD) { 660if ($KBD) {
650 system "stty -icanon -icrnl -inlcr -echo min 1 time 0"; 661 system "stty -icanon -icrnl -inlcr -echo min 1 time 0"; # -isig
651 eval q{ sub END { system "stty sane" } }; 662 eval q{ sub END { system "stty sane" } };
652 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 }; 663 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
653} 664}
654 665
655############################################################################# 666#############################################################################
676); 687);
677 688
678############################################################################# 689#############################################################################
679# process/pty management 690# process/pty management
680 691
692if (1) {
681require IO::Pty; 693 require IO::Pty;
682$PTY = IO::Pty->new; 694 $PTY = IO::Pty->new;
683 695
684my $slave = $PTY->slave; 696 my $slave = $PTY->slave;
685 697
686$PTY->set_winsize (24, 80); 698 $PTY->set_winsize (24, 80);
687 699
688unless (fork) { 700 unless (fork) {
701 $ENV{LC_ALL} = "C";
689 $ENV{TERM} = $VT102 ? "vt102" : "vt100"; 702 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
690 703
691 close $PTY; 704 close $PTY;
692 705
693 open STDIN , "<&", $slave; 706 open STDIN , "<&", $slave;
694 open STDOUT, ">&", $slave; 707 open STDOUT, ">&", $slave;
695 open STDERR, ">&", $slave; 708 open STDERR, ">&", $slave;
696 709
697 system "stty ixoff erase ^H"; 710 system "stty ixoff erase ^H";
698 711
699 $PTY->make_slave_controlling_terminal; 712 $PTY->make_slave_controlling_terminal;
713 $PTY->close_slave;
714
715 @ARGV = "sh" unless @ARGV;
716 exec @ARGV;
717 }
718
700 $PTY->close_slave; 719 $PTY->close_slave;
701 720} else {
702 @ARGV = "sh" unless @ARGV; 721 open $PTY, "+</dev/null"
703 exec @ARGV; 722 or die "/dev/null: $!";
704} 723}
705
706$PTY->close_slave;
707 724
708############################################################################# 725#############################################################################
709# the actual hardware simulator 726# the actual hardware simulator
710 727
711my @ICACHE; # compiled instruction cache 728my @ICACHE; # compiled instruction/basic block cache
712 729
730my $POWERSAVE; # powersave counter
731
732my $RIN; # libev for the less well-off
733
734(vec $RIN, 0, 1) = 1 if $KBD;
735(vec $RIN, fileno $PTY, 1) = 1 if $PTY;
736
737# the cpu.
713while () { 738while () {
714 # execute extended basic blocks 739 # execute an extended basic block
715 $PC = ($ICACHE[$PC] ||= do { 740 $PC = ($ICACHE[$PC] ||= do {
716 my $pc = $PC; 741 my $pc = $PC;
717 742
718 my $insn = ""; 743 my $insn = "";
719 744
731 756
732 s/\bPC\b/$pc/ge; # PC at end of insn 757 s/\bPC\b/$pc/ge; # PC at end of insn
733 s/\bBRA\b/return/g; # conditional jump 758 s/\bBRA\b/return/g; # conditional jump
734 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump 759 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump
735 760
736 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; 761 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; # in insns call in_HEX
737 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; 762 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; # out likewise
738 } 763 }
739 764
740 $insn .= "$op;\n"; 765 $insn .= "$op;\n";
741 } 766 }
742 767
743 768
744 $insn .= $pc; 769 $insn .= $pc;
745 $insn =~ s/\x00.*$//s; 770 $insn =~ s/\x00.*$//s;
746 771
747 eval "use integer; sub { $insn }" or die "$insn: $@" 772 eval "sub { $insn }" or die "$insn: $@"
748 })->(); 773 })->();
749 774
750 ++$CLK; 775 ++$CLK;
751 776
752 # things we do from time too time only 777 # things we do from time to time only
753 unless ($CLK & 0xf) { 778 unless ($CLK & 0xf) {
754 # do I/O 779 # do I/O
755 780
756 unless ($CLK & 0xfff) { 781 unless ($CLK & 0xfff) {
782 if (select $x = $RIN, undef, undef, $POWERSAVE < 10 ? 0 : $CURSOR_IS_ON && 3600) {
757 783
758 # pty/serial I/O 784 # pty/serial I/O
759 unless ((@PUSARTRECV >= 128) || @KQUEUE || !$PTY) { 785 if ($PTY && (vec $x, fileno $PTY, 1) && (@PUSARTRECV < 128) && !@KQUEUE) {
760 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
761
762 if (select $rin, undef, undef, 0) {
763 sysread $PTY, my $buf, 256; 786 sysread $PTY, my $buf, 256;
787
788 # linux don't do cs7 and/or parity anymore, so we need to filter # out xoff characters to avoid freezes.
764 push @PUSARTRECV, unpack "C*", $buf; 789 push @PUSARTRECV, grep { ($_ & 0x7f) != 0x13 } unpack "C*", $buf;
765 } 790 }
766 }
767 791
768 # keyboard input 792 # keyboard input
769 if ($KBD) { 793 if ($KBD && (vec $x, 0, 1)) {
794 # to avoid non-blocking mode on stdin (and stty min 0), we
795 # just read byte-by-byte after a select says there is data.
770 while (select my $rin = "\x01", undef, undef, 0) { 796 while (select my $rin = "\x01", undef, undef, 0) {
771 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF 797 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF
772 or last; 798 or last;
799 }
800
801 stdin_parse if length $STDIN_BUF;
773 } 802 }
774 803
775 stdin_parse if length $STDIN_BUF; 804 $POWERSAVE = 0; # activity
805 } elsif (@PUSARTRECV || @KQUEUE) {
806 $POWERSAVE = 0;
807 } else {
808 ++$POWERSAVE;
776 } 809 }
777 } 810 }
778 811
779 # kick off various interrupts 812 # kick off serial input interrupt quite often
780
781 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though) 813 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though)
782 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy 814 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy
783 815
784 # kick off vertical retrace form time to time 816 # kick off vertical retrace interrupt from time to time
785 unless ($CLK & 0x1ff) { 817 unless ($CLK & 0x1ff) {
786 $RST |= 4; # vertical retrace 818 $RST |= 4; # vertical retrace
787 } 819 }
788 820
789 # handle video hardware 821 # handle video hardware
790 unless ($CLK & 0x3fff) { 822 unless ($CLK & 0x3fff) {
791 display; 823 display;
792 } 824 }
793 } 825 }
794 826
795 # the interrupt logic 827 # the interrupt logic - we only interrupt after basic blocks
828 # which, as a side effect, ensures that we don't interrupt
829 # "ei; ret" sequences and thus reduce the risk of stack overflows.
796 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) { 830 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
797 # rst 1 kbd data available 831 # rst 1 kbd data available
798 # rst 2 pusart xmit+recv flag 832 # rst 2 pusart xmit+recv flag
799 # rst 4 vertical retrace 833 # rst 4 vertical retrace
800 # 5.5 vt125 mb7 trans ready (serial send?) 834 # 5.5 vt125 mb7 trans ready (serial send?)
801 # 6.5 vt125 mb7 read ready (something modem?) 835 # 6.5 vt125 mb7 read ready (something modem?)
802 # 7.5 vt125 mb7 vblank h(?) 836 # 7.5 vt125 mb7 vblank h(?)
803 # trap vt125 mbi init h(?) 837 # trap vt125 mbi init h(?)
804 my $vec; 838 my $vec;
805 839
806 $x = $INTPEND & ~$INTMASK; 840 my $pend = $INTPEND & ~$INTMASK;
807 841
808 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 842 if ($pend & 1) { $vec = 0x2c; $INTPEND &= ~1;
809 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 843 } elsif ($pend & 2) { $vec = 0x34; $INTPEND &= ~2;
810 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 844 } elsif ($pend & 4) { $vec = 0x3c; $INTPEND &= ~4;
811# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts 845# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
812 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 846 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
813 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 847 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
814 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 848 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
815 } else { 849 } else {
816 die; 850 die;
817 } 851 }
818 852
853 # jump to the interrupt vector
819 $M[--$SP] = $PC >> 8; 854 $M[--$SP] = $PC >> 8;
820 $M[--$SP] = $PC & 0xff; 855 $M[--$SP] = $PC & 0xff;
821 $PC = $vec; 856 $PC = $vec;
822 857
823 $IFF = 0; 858 $IFF = 0;

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines