ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.7 by root, Mon Dec 1 20:01:29 2014 UTC vs.
Revision 1.25 by root, Thu Dec 4 04:36:11 2014 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20# this hack is not considered release ready in and way, shape, or form 20use strict;
21# ./vt102 bash
22# ./vt102 telnet towel.blinkenlights.nl
23# ./vt102 curl http://artscene.textfiles.com/vt100/trekvid.vt
24# ./vt102 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
25
26# TODO: ctrl key map
27
28use common::sense; 21#use common::sense;
29
30$| = 1;
31 22
32my $VT102 = 1; 23my $VT102 = 1;
33my $AVO = $VT102 || 1; 24my $VT131 = 0;
25my $AVO = 1;
26
27shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
28shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
29shift if $ARGV[0] =~ /^-?-vt102$/;
30shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
31
32# vt100 wps = word processing roms
33# vt101 = vt102 - avo, but custom rom? really?
34# vt103 = vt100 + tu58 tape drive
35# vt125 = vt100 + gpo graphics processor
36# vt132 = vt100 + avo, stp
37# vt180 = vt100 + z80 cp/m
38
39if ($ARGV[0] =~ /^-/) {
40 die <<EOF;
41
42VT102, A VT100/102/131 SIMULATOR
43
44Usage:
45
46 $0 [option] [program [args]]
47
48Examples:
49
50 $0 bash
51 $0 telnet towel.blinkenlights.nl
52 $0 curl http://artscene.textfiles.com/vt100/trekvid.vt
53 $0 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
54
55Option can be one of:
56
57 --vt100
58 --vt100+avo
59 --vt102
60 --vt131
61
62Non-obvious special keys are:
63
64 SET UP Home
65 BACKSPACE Rubout
66 CAPS LOCK Prior/PgUp
67 NO SCROLL Next/PgDown
68 BREAK End
69
70Set-Up Guide:
71
72 http://vt100.net/docs/vt102-ug/chapter3.html#S3.6
73
74Author:
75
76 Marc Lehmann <vt102\@schmorp.de>
77
78EOF
79}
80
81#############################################################################
82# ROM/hardware init
83
84my $PTY; # the pty we allocated, if any
34my $KBD = 1; 85my $KBD = 1;
35 86
36#############################################################################
37# rom initialising
38
39my $ROM = do { 87my $ROMS = do {
40 binmode DATA; 88 binmode DATA;
41 local $/; 89 local $/;
42 <DATA> 90 <DATA>
43}; 91};
44 92
450x6001 == length $ROM or die "corrupted rom image"; 930x6801 == length $ROMS or die "corrupted rom image";
46
47binmode STDOUT;
48 94
49my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 95my @M = (0xff) x 65536; # main memory, = (0xff) x 65536;
50 96
51# populate mem with rom contents 97# populate mem with rom contents
52if ($VT102) { 98if ($VT102) {
53 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x2000, 0x2000; 99 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x2000, 0x2000;
54 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROM, 0x4000, 0x2000; 100 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROMS, 0x4000, 0x2000;
101 @M[0xa000 .. 0xa7ff] = unpack "C*", substr $ROMS, 0x6000, 0x0800 if $VT131;
55} else { 102} else {
56 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x0000, 0x2000; 103 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x0000, 0x2000;
57} 104}
58 105
59############################################################################# 106#############################################################################
60# cpu registers and I/O support 107# 8085 CPU registers and I/O support
61
62my $PTY; # the pty we allocated, if any
63my $PRSTATUS = 0;
64 108
65# 8080/8085 registers 109# 8080/8085 registers
66# b, c, d, e, h, l, a 110my ($A, $B, $C, $D, $E, $H, $L); # 8 bit general purpose
67my ($A, $B, $C, $D, $E, $H, $L, $A); 111my ($PC, $SP, $IFF); # program counter, stack pointer, interrupt flag
68my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 112my ($FA, $FZ, $FS, $FP, $FC); # condition codes (psw)
69 113
70my $RST = 0; # 8080 pending interrupts 114my $RST = 0; # pending interrupts (external interrupt logic)
71my $INTMASK = 7; # 8085 half interrupts 115my $INTMASK = 7; # 8085 half interrupt mask
72my $INTPEND = 0; # 8085 half interrupts 116my $INTPEND = 0; # 8085 half interrupts pending
73 117
74my $x; # dummy temp for instructions 118my $CLK; # rather inexact clock, counts extended basic blocks
75 119
76my $CLK; # rather inexact clock
77
78############################################################################# 120#############################################################################
79# the dreaded nvr1400 chip. not needed to get it going, but provided for reference 121# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
80 122
81# nvram 123# nvram
82my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes 124my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes
83my $NVRADDR; 125my $NVRADDR;
84my $NVRDATA; 126my $NVRDATA;
93 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase 135 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
94 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read 136 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
95 sub { }, # 7 standby 137 sub { }, # 7 standby
96); 138);
97 139
98my @bitidx; 140my @NVR_BITIDX; $NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
99$bitidx[1 << $_] = 9 - $_ for 0..9;
100 141
101# the nvr1400 state machine. what a monster 142# the nvr1400 state machine. what a monster
102sub nvr() { 143sub nvr() {
103 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 144 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
104 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 145 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
105
106# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
107 146
108 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 147 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
109} 148}
110 149
111############################################################################# 150#############################################################################
151# I/O ports - output
112 152
113my $DC11_REVERSE = 0; 153my $DC11_REVERSE = 0; # light background?
114 154
115my $XON = 1; # false if terminal wants us to pause 155my $XON = 1; # false if terminal wants us to pause
116my $PUSARTCMD; 156my $PUSARTCMD;
117 157
158my $KSTATUS; # keyboard status (click + scan flag + leds)
118my @KXMIT; # current scan queue 159my @KXMIT; # current scan queue
119my %KXMIT; # currently pressed keys 160my %KXMIT; # currently pressed keys
120my @KQUEUE; # key event queue 161my @KQUEUE; # key event queue
121my $KXCNT; # count for debouncew 162my $KXCNT; # count for debouncew
122my @PUSARTRECV; 163
123my $KSTATUS; 164my @PUSARTRECV; # serial input (to terminal) queue
124 165
125sub out_00 { # pusartdata 166sub out_00 { # pusartdata
126 # handle xon/xoff, but also pass it through 167 # handle xon/xoff, but also pass it through
127 if ($_[0] == 0x13) { 168 if ($_[0] == 0x13) {
128 $XON = 0; 169 $XON = 0;
135 syswrite $PTY, chr $_[0]; 176 syswrite $PTY, chr $_[0];
136 177
137 $INTPEND |= 1; 178 $INTPEND |= 1;
138} 179}
139 180
140sub out_01 { 181sub out_01 { # pusartcmd
141 $PUSARTCMD = shift; 182 $PUSARTCMD = shift;
142 183
143 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy 184 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy
144 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason 185 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason
145} 186}
146 187
147sub out_02 { } # baudrate generator 188sub out_02 { } # baudrate generator
148 189
149sub out_23 { } # unknown 190sub out_23 { } # vt102 unknown
150sub out_27 { } # unknown 191sub out_27 { } # vt102 unknown
151sub out_2f { } # unknown, connected to in 0f 192sub out_2f { } # vt102 unknown, connected to in 0f
152 193
153sub out_42 { } # brightness 194sub out_42 { } # brightness
154 195
155sub out_62 { 196sub out_62 { # nvr latch register (4 bits)
156 $NVRLATCH = shift; 197 $NVRLATCH = shift;
157} 198}
158 199
159sub out_a2 { 200sub out_a2 { # device control 011
160 my $dc11 = 0x0f & shift; 201 my $dc11 = 0x0f & shift;
161 202
162 $DC11_REVERSE = 1 if $dc11 == 0b1010; 203 $DC11_REVERSE = 1 if $dc11 == 0b1010;
163 $DC11_REVERSE = 0 if $dc11 == 0b1011; 204 $DC11_REVERSE = 0 if $dc11 == 0b1011;
164} 205}
165 206
166sub out_c2 { } # unknown 207sub out_c2 { } # unknown
167sub out_d2 { } # 0..3 == 80c/132c/60hz/50hz 208sub out_d2 { } # device control 012, 0..3 == 80c/132c/60hz/50hz
168 209
169sub out_82 { 210sub out_82 { # keyboard txmit
170 # keyboard 211 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4 (vt100)
171
172 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INSERT L1(?) 212 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1 (vt102)
173 # CLICK STARTSCAN ONLINE LOCKED | LED1 LED2 LED3 LED4
174 $KSTATUS = $_[0]; 213 $KSTATUS = $_[0];
175 214
176 # start new scan unless scan in progress 215 # start new scan unless scan is in progress
177 if (($_[0] & 0x40) && !@KXMIT) { 216 if (($_[0] & 0x40) && !@KXMIT) {
178 # do not reply with keys in locked mode 217 # do not reply with keys in locked mode
179 # or during post (0xff), 218 # or during post (0xff),
180 # mostly to skip init and not fail POST, 219 # mostly to skip init and not fail POST,
181 # and to send startup keys only when terminal is ready 220 # and to send startup keys only when terminal is ready
201 $RST |= 1; 240 $RST |= 1;
202 } 241 }
203} 242}
204 243
205############################################################################# 244#############################################################################
245# I/O ports - input
206 246
207my $NVRBIT; 247my $NVRBIT; # the current nvr data bit
208my $LBA; 248my $LBA6; # twice the frequenxy of LBA7
209 249
210sub in_00 { # pusart data 250sub in_00 { # pusart data
211 # interrupt not generated here, because infinite 251 # interrupt not generated here, because infinite
212 # speed does not go well with the vt102. 252 # speed does not go well with the vt102.
213 253
217sub in_01 { # pusart status 257sub in_01 { # pusart status
218 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY 258 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY
219 0x85 + (@PUSARTRECV && 0x02) 259 0x85 + (@PUSARTRECV && 0x02)
220} 260}
221 261
222sub in_22 { # modem buffer(?) 262sub in_22 { # modem buffer
223 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 263 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
224 0x20 264 0x20
225} 265}
226 266
227sub in_0f { } # unknown, connected to out 2f 267sub in_0f { 0xff } # vt102 unknown, connected to out 2f
228 268
229sub in_42 { # flag buffer 269sub in_42 { # flag buffer
230 ++$LBA; 270 ++$LBA6;
231 271
232 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA & 0x3) == 0x2; 272 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA6 & 0x3) == 0x2;
233 273
234 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY 274 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY
235 275
236 my $f = 0x85 | $NVRBIT; 276 my $f = 0x85 | $NVRBIT;
237 277
238 $f |= 0x02 unless $AVO; 278 $f |= 0x02 unless $AVO;
239 $f |= 0x40 if $LBA & 0x2; 279 $f |= 0x40 if $LBA6 & 0x2;
240 280
241 $f 281 $f
242} 282}
243 283
244sub in_82 { # tbmt keyboard uart 284sub in_82 { # tbmt keyboard uart
246 286
247 $RST |= 1; 287 $RST |= 1;
248 shift @KXMIT 288 shift @KXMIT
249} 289}
250 290
251sub in_03 { 0xff } # unknown, printer uart input? 291sub in_03 { 0xff } # vt102 unknown, printer uart input?
252sub in_0b { 0xff } # unknown 292sub in_0b { 0xff } # vt102 unknown
253sub in_17 { 0xff } # unknown, printer status clear by reading? 293sub in_17 { 0xff } # vt102 unknown, printer status clear by reading?
254sub in_1b { 0xff } # unknown 294sub in_1b { 0xff } # vt102 unknown
255 295
256############################################################################# 296#############################################################################
297# 8085 cpu opcodes and flag handling
257 298
299my $x; # dummy scratchpad for opcodes
300
258sub sf { # set flags (ZSC - AP not implemented) 301sub sf { # set flags, full version (ZSC - AP not implemented)
302 $FS = $_[0] & 0x080;
303 $FZ = !($_[0] & 0x0ff);
304 $FC = $_[0] & 0x100;
305
306 $_[0] &= 0xff;
307}
308
309sub sf8 { # set flags, for 8-bit results (ZSC - AP not implemented)
310 $FS = $_[0] & 0x080;
311 $FZ = !($_[0] & 0x0ff);
312 $FC = 0;
313}
314
315sub sf_nc { # set flags, except carry
259 $FS = $_[0] & 0x080; 316 $FS = $_[0] & 0x080;
260 $FZ = ($_[0] & 0x0ff) == 0; 317 $FZ = ($_[0] & 0x0ff) == 0;
261 $FC = $_[0] & 0x100;
262 318
263 $_[0] & 0xff 319 $_[0] &= 0xff;
264} 320}
265 321
266sub sf_nc { # set flags except carry 322# opcode table
267 $FS = $_[0] & 0x080;
268 $FZ = ($_[0] & 0x0ff) == 0;
269
270 $_[0] & 0xff
271}
272
273my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0 .. 255; 323my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
274my @ops;
275 324
276my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 325my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); # r/m encoding
277my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 326my @cc = ('!$FZ', '$FZ', '!$FC', '$FC', 'die;', 'die;', '!$FS', '$FS'); # cc encoding. die == unimplemented $FP parity
327
328$op[0x00] = ''; # nop
278 329
279# mov r,r / r,M / M,r 330# mov r,r / r,M / M,r
280for my $s (0..7) { 331for my $s (0..7) {
281 for my $d (0..7) { 332 for my $d (0..7) {
282 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; 333 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
283 } 334 }
284} 335}
285 336
286$op[0x00] = ''; 337$op[0x76] = 'die "HLT"'; # hlt (mov m,m)
338
339# mvi r / M
340$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7;
287 341
288$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 342$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
289$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 343$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
290$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 344$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
291$op[0x31] = '$SP = IMM16' ; # lxi #d# 0xf000 because of limited stack 345$op[0x31] = '$SP = IMM16' ; # lxi
292 346
293$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax 347$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax
294$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax 348$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax
295$op[0x32] = '$M[IMM16 ] = $A'; # sta 349$op[0x32] = '$M[IMM16 ] = $A'; # sta
296 350
351$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b
352$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d
353$op[0x3a] = '$A = $M[IMM16]'; # lda
354
355$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld
356$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld
357
297sub inxdcx($$$) { 358sub inxdcx($$$) {
298 $x = ($_[0] * 256 + $_[1] + $_[2]) & 0xffff; 359 $x = $_[0] * 256 + $_[1] + $_[2];
299 $_[0] = $x >> 8; 360 ($_[0], $_[1]) = (($x >> 8) & 0xff, $x & 0xff);
300 $_[1] = $x & 0xff;
301} 361}
302 362
303$op[0x03] = 'inxdcx $B, $C, 1'; # inx 363$op[0x03] = 'inxdcx $B, $C, 1'; # inx
304$op[0x13] = 'inxdcx $D, $E, 1'; # inx 364$op[0x13] = 'inxdcx $D, $E, 1'; # inx
305$op[0x23] = 'inxdcx $H, $L, 1'; # inx 365$op[0x23] = 'inxdcx $H, $L, 1'; # inx
308$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx 368$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx
309$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx 369$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx
310$op[0x3b] = '--$SP' ; # dcx 370$op[0x3b] = '--$SP' ; # dcx
311 371
312# "no carry" doesn't seem to be needed for vt100 - optimize? 372# "no carry" doesn't seem to be needed for vt100 - optimize?
313$op[0x04 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] + 1" for 0..7; # inr
314$op[0x05 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] - 1" for 0..7; # dcr
315
316# mvi r / M
317$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7; 373$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
374$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
375
376$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
377$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
378$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
379$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
318 380
319# getting this insn wrong (its the only 16 bit insn to modify flags) 381# getting this insn wrong (its the only 16 bit insn to modify flags)
320# wasted three of my best days with mindless vt102 rom reverse engineering 382# wasted three of my best days with mindless vt102 rom reverse engineering
321sub dad { 383sub dad {
322 $x = $H * 256 + $L + $_[0]; 384 $x = $H * 256 + $L + $_[0];
328$op[0x09] = 'dad $B * 256 + $C'; # dad 390$op[0x09] = 'dad $B * 256 + $C'; # dad
329$op[0x19] = 'dad $D * 256 + $E'; # dad 391$op[0x19] = 'dad $D * 256 + $E'; # dad
330$op[0x29] = 'dad $H * 256 + $L'; # dad 392$op[0x29] = 'dad $H * 256 + $L'; # dad
331$op[0x39] = 'dad $SP '; # dad 393$op[0x39] = 'dad $SP '; # dad
332 394
333$op[0x07] = ' $FC = $A >> 7; $A = ($A * 2 + $FC) & 0xff '; # rlc 395$op[0x2f] = '$A ^= 0xff'; # cma
334$op[0x17] = ' ($FC, $A) = ($A >> 7, ($A * 2 + $FC) & 0xff)'; # ral
335 396
336$op[0x0f] = ' $FC = $A & 1; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 397$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
337$op[0x1f] = ' ($FC, $A) = ($A & 1, ($A >> 1) | ($FC && 0x80))'; # rar 398$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
399$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
400$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
401$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
402$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
403$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
404$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
405# possible todo: optimize ora a, maybe xra a, possibly ana
338 406
339$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b 407$op[0xc6] = 'sf $A += IMM8'; # adi
340$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d 408$op[0xd6] = 'sf $A -= IMM8'; # sui
341$op[0x3a] = '$A = $M[IMM16]'; # lda 409$op[0xe6] = 'sf8 $A &= IMM8'; # ani
410$op[0xee] = 'sf8 $A ^= IMM8'; # xri
411$op[0xf6] = 'sf8 $A |= IMM8'; # ori
412$op[0xfe] = 'sf $A - IMM8'; # cpi
413# ce ACI NYI, apparently unused
414# de SBI NYI, apparently unused
342 415
416$op[0xc5] = 'PUSH $B; PUSH $C';
417$op[0xd5] = 'PUSH $D; PUSH $E';
418$op[0xe5] = 'PUSH $H; PUSH $L';
419$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
420
421$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
422$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
423$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
424$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
425
426$op[0xc2 + $_ * 8] = 'BRA IMM16 if ' . $cc[$_] for 0..7; # jcc
427$op[0xc3] = 'JMP IMM16'; # jmp
428
429$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) if ' . $cc[$_] for 0..7; # ccc
430$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
431
432$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 if ' . $cc[$_] for 0..7; # rcc
433$op[0xc9] = 'JMP POP + POP * 256'; # ret
434
435$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
436
437$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
438# f9 SPHL NYI, apparently unused
439
440$op[0x37] = '$FC = 1 '; # stc
441$op[0x3f] = '$FC = !$FC'; # cmc
442
443$op[0xd3] = 'OUT'; # out
444$op[0xdb] = 'IN'; # in
445
446$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
447
448# e3 xthl NYI # @ 917b in e69, hl <-> (sp)
449
343$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 450$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (8085, incomplete)
344$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 451$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (8085, incomplete)
345 452
346$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld 453$op[0xf3] = '$IFF = 0'; # di
347$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld 454$op[0xfb] = '$IFF = 1'; # ei
348 455
349# yeah, the fucking setup screens actually use daa... 456# yeah, the fucking setup screen actually uses daa...
350$op[0x27] = ' 457$op[0x27] = '
351 my ($h, $l); 458 my ($h, $l);
352 459
353 ($h, $l) = ($A >> 4, $A & 15); 460 ($h, $l) = ($A >> 4, $A & 15);
354 461
355 if ($l > 9 || $FA) { 462 if ($l > 9 || $FA) {
356 $A = sf $A + 6; 463 sf $A += 6;
357 ($h, $l) = ($A >> 4, $A & 15); 464 ($h, $l) = ($A >> 4, $A & 15);
358 } 465 }
359 466
360 if ($h > 9 || $FC) { 467 if ($h > 9 || $FC) {
361 $h += 6; 468 $h += 6;
362 $A = ($h * 16 + $l) & 0xff; 469 $A = ($h * 16 + $l) & 0xff;
363 } 470 }
364'; # daa, almost certainly borked, also, acarry not set by sf 471'; # daa, almost certainly borked, also, acarry not set by sf
365 472
366$op[0x2f] = '$A ^= 0xff'; # cma
367
368$op[0x37] = '$FC = 1 '; # stc
369$op[0x3f] = '$FC = !$FC'; # cmc
370
371$op[0x76] = 'die "HLT"'; # hlt
372
373$op[0x80 + $_] = '$A = sf $A + ' . $reg[$_] for 0..7; # add
374$op[0x88 + $_] = '$A = sf $A + $FC + ' . $reg[$_] for 0..7; # adc
375$op[0x90 + $_] = '$A = sf $A - ' . $reg[$_] for 0..7; # sub
376$op[0x98 + $_] = '$A = sf $A - $FC - ' . $reg[$_] for 0..7; # sbb
377$op[0xa0 + $_] = '$A = sf $A & ' . $reg[$_] for 0..7; # ana
378$op[0xa8 + $_] = '$A = sf $A ^ ' . $reg[$_] for 0..7; # xra
379$op[0xb0 + $_] = '$A = sf $A | ' . $reg[$_] for 0..7; # ora
380$op[0xb8 + $_] = ' sf $A - ' . $reg[$_] for 0..7; # cmp
381# possible todo: optimize ora a, maybe xra a
382
383$op[0xc6 + $_] = '$A = sf $A + IMM8'; # adi
384$op[0xd6 + $_] = '$A = sf $A - IMM8'; # sui
385$op[0xe6 + $_] = '$A = sf $A & IMM8'; # ani
386$op[0xee + $_] = '$A = sf $A ^ IMM8'; # xri
387$op[0xf6 + $_] = '$A = sf $A | IMM8'; # ori
388$op[0xfe + $_] = ' sf $A - IMM8'; # cpi
389
390$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
391$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
392$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
393$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = (!!($x & 0x80), !!($x & 0x40), !!($x & 0x10), !!($x & 0x04), !!($x & 0x01))'; # pop psw
394
395$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
396
397$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
398$op[0xc3] = 'JMP IMM16'; # jmp
399
400$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
401$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
402
403$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
404
405$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
406$op[0xc9] = 'JMP POP + POP * 256'; # ret
407
408$op[0xc5] = 'PUSH $B; PUSH $C';
409$op[0xd5] = 'PUSH $D; PUSH $E';
410$op[0xe5] = 'PUSH $H; PUSH $L';
411$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
412
413$op[0xd3] = 'OUT'; # out
414$op[0xdb] = 'IN'; # in
415
416# e3 xthl @ 917b, hl <-> (sp)
417
418$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
419
420$op[0xf3] = '$IFF = 0'; # DI
421$op[0xfb] = '$IFF = 1'; # EI
422
423@ops = @op; # for debugging #d#
424
425############################################################################# 473#############################################################################
474# debug
426 475
427# print cpu status, for debugging 476# print cpu status, for debugging
428sub status { 477sub status {
429 my $PC = shift || $PC; 478 my $PC = shift || $PC;
430 479
434 ($FZ ? "1" : "0") 483 ($FZ ? "1" : "0")
435 . ($FS ? "1" : "0") 484 . ($FS ? "1" : "0")
436 . ($FC ? "1" : "0") 485 . ($FC ? "1" : "0")
437 . ($FA ? "1" : "0") 486 . ($FA ? "1" : "0")
438 . ($FP ? "1" : "0"), 487 . ($FP ? "1" : "0"),
439 $M[$PC], $ops[$M[$PC]]; 488 $M[$PC], $op[$M[$PC]];
440} 489}
441 490
442############################################################################# 491#############################################################################
492# video emulation
443 493
444my @chr = ( 494binmode STDOUT;
495
496my @CHARMAP = ( # acschars / chars 0..31
445 " " , "\x{29eb}", "\x{2592}", "\x{2409}", 497 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
446 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}", 498 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
447 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}", 499 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
448 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}", 500 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
449 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}", 501 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
451 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}", 503 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}",
452 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}", 504 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}",
453 (map chr, 0x020 .. 0x7e), 505 (map chr, 0x020 .. 0x7e),
454); 506);
455 507
456utf8::encode $_ for @chr; 508utf8::encode $_ for @CHARMAP;
457 509
458my @sgr; # sgr sequences for attributes 510my @SGR; # sgr sequences for attributes
459 511
460for (0x00 .. 0xff) { 512for (0x00 .. 0xff) {
461 my $sgr = ""; 513 my $sgr = "";
514
515 # ~1 sgr 5 blink
516 # ~2 sgr 4 underline
517 # ~4 sgr 1 bold
518 # 0x80 in attr, sgr 7, reversed
462 519
463 $sgr .= ";5" unless $_ & 0x01; 520 $sgr .= ";5" unless $_ & 0x01;
464 $sgr .= ";4" unless $_ & 0x02; 521 $sgr .= ";4" unless $_ & 0x02;
465 $sgr .= ";1" unless $_ & 0x04; 522 $sgr .= ";1" unless $_ & 0x04;
466 $sgr .= ";7" if $_ & 0x80; 523 $sgr .= ";7" if $_ & 0x80;
467 524
468 $sgr[$_] = "\e[${sgr}m"; 525 $SGR[$_] = "\e[${sgr}m";
469} 526}
470 527
471sub prscr { 528my @LED = $VT102
529 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
530 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
531
532# display screen
533sub display {
472 my $i = 0x2000; 534 my $i = 0x2000;
473 535
536 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
537
474 my $scr = sprintf "\e[H--- KBD %08b CLK %d\e[K\n", $KSTATUS, $CLK; 538 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
475 539
476 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l"); 540 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l");
477 541
478 line: 542 line:
479 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines 543 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines
480 my $prev_sgr; 544 my $prev_sgr;
481 545
482 $scr .= sprintf "%2d |", ++$y; 546 $scr .= sprintf "%2d \xe2\x94\x82", $y;
483 547
484 for (0..139) { 548 for (0..139) {
485 my $c = $M[$i]; 549 my $c = $M[$i];
486 550
487 if ($c == 0x7f) { # also 0xff, but the firmware avoids that 551 if ($c == 0x7f) { # also 0xff, but the firmware avoids that
488 $scr .= "\e[m|\e[K\n"; 552 $scr .= "\e[m\xe2\x94\x82\e[K\n";
489 553
490 my $a1 = $M[$i + 1]; 554 my $a1 = $M[$i + 1];
491 my $a0 = $M[$i + 2]; 555 my $a0 = $M[$i + 2];
492 556
493 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff); 557 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff);
494 558
495 next line; 559 next line;
496 } 560 }
497 561
498 my $sgr = $sgr[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)]; 562 my $sgr = $SGR[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)];
499
500 # ~1 sgr 5 blink
501 # ~2 sgr 4 underline
502 # ~4 sgr 1 bold
503 # 0x80 in attr, sgr 7, reversed
504 563
505 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr; 564 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr;
506 565
507 $scr .= $chr[$c & 0x7f]; 566 $scr .= $CHARMAP[$c & 0x7f];
508 } 567 }
509 568
510 $scr .= "\e[K\nvideo overflow\e[K\n"; 569 $scr .= "\e[K\nvideo overflow\e[K\n";
511 last; 570 last;
512 } 571 }
513 572
514 $scr .= "\e[m"; 573 $scr .= "\e[m\e[J";
515
516 if (0) {
517 $scr .= "\e[K\n";
518 for my $o (0x200 .. 0x232) {
519 $scr .= sprintf "%04x:", $o * 16;
520 for (0..15) {
521 $scr .= sprintf " %02x", $M[$o * 16 + $_];
522 }
523 $scr .= "\e[K\n";
524 }
525 }
526
527 $scr .= "\e[J";
528 574
529 syswrite STDOUT, $scr; 575 syswrite STDOUT, $scr;
530} 576}
531 577
532############################################################################# 578#############################################################################
579# keyboard handling
533 580
534if (@ARGV) { 581# 0x080 shift, 0x100 ctrl
535 require IO::Pty; 582my %KEYMAP = (
536 $PTY = IO::Pty->new; 583 "\t" => 0x3a,
584 "\r" => 0x64,
585 "\n" => 0x44,
586
587 "\x00" => 0x77 | 0x100, # CTRL-SPACE
588 "\x1c" => 0x45 | 0x100, # CTRL-\
589 "\x1d" => 0x14 | 0x100, # CTRL-]
590 "\x1e" => 0x24 | 0x100, # CTRL-~
591 "\x1f" => 0x75 | 0x100, # CTRL-?
592
593 # hardcoded rxvt keys
594 "\e" => 0x2a, # ESC
595 "\e[3~" => 0x03, # DC
596 "\e[5~" => 0x7e, # CAPS LOCK (prior)
597 "\e[6~" => 0x6a, # NO SCROLL (next)
598 "\e[A" => 0x30, # UP
599 "\e[B" => 0x22, # DOWN
600 "\e[C" => 0x10, # RIGHT
601 "\e[D" => 0x20, # LEFT
602 "\e[a" => 0x30 | 0x080, # UP
603 "\e[b" => 0x22 | 0x080, # DOWN
604 "\e[c" => 0x10 | 0x080, # RIGHT
605 "\e[d" => 0x20 | 0x080, # LEFT
606 "\e[7~" => 0x7b, # SETUP (home)
607 "\e[8~" => 0x23, # BREAK (end)
608 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
609 "\x7f" => 0x33, # BACKSPACE
610
611 "\e[11~" => 0x32, # F1
612 "\e[11~" => 0x42, # F2
613 "\e[11~" => 0x31, # F3
614 "\e[11~" => 0x41, # F4
615);
537 616
538 my $slave = $PTY->slave; 617@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
618 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
619 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
539 620
540 $PTY->set_winsize (24, 80); 621$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl
622$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
541 623
542 unless (fork) { 624my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
543 $ENV{TERM} = $VT102 ? "vt102" : "vt100"; 625$KEYMATCH = qr{^($KEYMATCH)}s;
544 626
545 close $PTY; 627my %KMOD; # currently pressed modifier keys
546 628
547 open STDIN , "<&", $slave; 629sub key {
548 open STDOUT, ">&", $slave; 630 my ($key) = @_;
549 open STDERR, ">&", $slave;
550 631
551 system "stty ixoff erase ^H"; 632 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
633 push @KQUEUE, -0x7d if !($key & 0x080) && delete $KMOD{0x7d}; # shift-up
552 634
553 $PTY->make_slave_controlling_terminal; 635 push @KQUEUE, 0x7c if $key & 0x100 && !$KMOD{0x7c}++; # ctrl-down
554 $PTY->close_slave; 636 push @KQUEUE, 0x7d if $key & 0x080 && !$KMOD{0x7d}++; # shift-down
555 637
556 exec @ARGV; 638 $key &= 0x7f;
557 } 639 push @KQUEUE, $key, -$key;
558
559 $PTY->close_slave;
560
561} else {
562 open $PTY, "</dev/null" or die;#d
563} 640}
564 641
565############################################################################# 642my $STDIN_BUF;
566 643
644sub stdin_parse {
645 key $KEYMAP{$1}
646 while $STDIN_BUF =~ s/$KEYMATCH//;
647
648 # skip input we can't decipher
649 substr $STDIN_BUF, 0, 1, "";
650}
651
652if ($KBD) {
653 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
654 eval q{ sub END { system "stty sane" } };
655 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
656}
657
658#############################################################################
567# initial key input, to set up online mode etc. 659# initial key input, to set up online mode etc.
660# could be done via nvram defaults
661
568@KQUEUE = ( 662@KQUEUE = (
569 0x7b, -0x7b, # setup 663 0x7b, -0x7b, # setup
570 0, # delay 664 0, # delay
571 0x28, -0x28, # 4, toggle local/online 665 0x28, -0x28, # 4, toggle local/online
572 0x38, -0x38, # 5, setup b 666 0x38, -0x38, # 5, setup b
583 0x37, -0x37, # 6 toggle wrap around 677 0x37, -0x37, # 6 toggle wrap around
584 0x7b, -0x7b, # leave setup 678 0x7b, -0x7b, # leave setup
585); 679);
586 680
587############################################################################# 681#############################################################################
682# process/pty management
588 683
589# 0x080 shift, 0x100 ctrl 684require IO::Pty;
590my %KEYMAP = ( 685$PTY = IO::Pty->new;
591 "\t" => 0x3a,
592 "\r" => 0x64,
593 "\n" => 0x44,
594 686
595 "\x00" => 0x77 | 0x100, # CTRL-SPACE 687my $slave = $PTY->slave;
596 "\x1c" => 0x45 | 0x100, # CTRL-\
597 "\x1d" => 0x14 | 0x100, # CTRL-]
598 "\x1e" => 0x24 | 0x100, # CTRL-~
599 "\x1f" => 0x75 | 0x100, # CTRL-?
600 688
601 # hardcoded rxvt keys 689$PTY->set_winsize (24, 80);
602 "\e" => 0x2a, # ESC
603 "\e[3~" => 0x03, # DC
604 "\e[5~" => 0x7e, # CAPS LOCK (prior)
605 "\e[6~" => 0x6a, # NO SCROLL (next)
606 "\e[A" => 0x30, # UP
607 "\e[B" => 0x22, # DOWN
608 "\e[C" => 0x10, # RIGHT
609 "\e[D" => 0x20, # LEFT
610 "\e[a" => 0x30 | 0x080, # UP
611 "\e[b" => 0x22 | 0x080, # DOWN
612 "\e[c" => 0x10 | 0x080, # RIGHT
613 "\e[d" => 0x20 | 0x080, # LEFT
614 "\e[7~" => 0x7b, # SETUP (home)
615 "\e[8~" => 0x23, # BREAK (end)
616 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
617 "\x7f" => 0x33, # BACKSPACE
618 690
619 "\e[11~" => 0x32, # F1 691unless (fork) {
620 "\e[11~" => 0x42, # F2 692 $ENV{LC_ALL} = "C";
621 "\e[11~" => 0x31, # F3 693 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
622 "\e[11~" => 0x41, # F4
623);
624 694
625@KEYMAP{map chr, 0x20..0x40} = unpack "C*", pack "H*", 695 close $PTY;
626 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9";
627 696
628@KEYMAP{map chr, 0x5b .. 0x7e} = unpack "C*", pack "H*", 697 open STDIN , "<&", $slave;
629 "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; 698 open STDOUT, ">&", $slave;
699 open STDERR, ">&", $slave;
630 700
631$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl 701 system "stty ixoff erase ^H";
632$KEYMAP{uc $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
633 702
634my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 703 $PTY->make_slave_controlling_terminal;
635$KEYMATCH = qr{^($KEYMATCH)}s; 704 $PTY->close_slave;
636 705
637sub key { 706 @ARGV = "sh" unless @ARGV;
638 my ($key) = @_; 707 exec @ARGV;
639
640 state %MOD;
641
642 push @KQUEUE, -0x7c if !($key & 0x100) && delete $MOD{0x7c}; # ctrl-up
643 push @KQUEUE, -0x7d if !($key & 0x080) && delete $MOD{0x7d}; # shift-up
644
645 push @KQUEUE, 0x7c if $key & 0x100 && !$MOD{0x7c}++; # ctrl-down
646 push @KQUEUE, 0x7d if $key & 0x080 && !$MOD{0x7d}++; # shift-down
647
648 $key &= 0x7f;
649 push @KQUEUE, $key, -$key;
650} 708}
651 709
652my $STDIN_BUF; 710$PTY->close_slave;
653 711
654sub stdin_parse {
655 key $KEYMAP{$1}
656 while $STDIN_BUF =~ s/$KEYMATCH//;
657
658 # skip input we can't decipher
659 substr $STDIN_BUF, 0, 1, "";
660}
661
662if ($KBD) {
663 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
664 eval q{ sub END { system "stty sane" } };
665 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
666}
667
668############################################################################# 712#############################################################################
713# the actual hardware simulator
669 714
670my @ICACHE; # compiled instruction cache 715my @ICACHE; # compiled instruction/basic block cache
671 716
717my $POWERSAVE; # powersave counter
718
719my $RIN; # libev for the less well-off
720
721(vec $RIN, 0, 1) = 1 if $KBD;
722(vec $RIN, fileno $PTY, 1) = 1 if $PTY;
723
672# the cpu 724# the cpu.
673while () { 725while () {
674
675 # execute extended basic blocks 726 # execute extended basic blocks
676 $PC = ($ICACHE[$PC] ||= do { 727 $PC = ($ICACHE[$PC] ||= do {
677 my $pc = $PC; 728 my $pc = $PC;
678 729
679 my $insn = ""; 730 my $insn = "";
680 731
681 # the jit compiler 732 # the jit compiler
682 for (0..15) { 733 for (0..31) {
683
684 # optional tracing support
685 if (0) {
686 $insn .= qq<
687 if (\$PRSTATUS) {
688 status $pc;
689 die unless --\$PRSTATUS;
690 }
691 >;
692 }
693
694 my $imm; 734 my $imm;
695 my $op = $op[$M[$pc++]]; 735 my $op = $op[$M[$pc++]];
696 736
697 for ($op) { 737 for ($op) {
698 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack 738 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack
703 743
704 s/\bPC\b/$pc/ge; # PC at end of insn 744 s/\bPC\b/$pc/ge; # PC at end of insn
705 s/\bBRA\b/return/g; # conditional jump 745 s/\bBRA\b/return/g; # conditional jump
706 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump 746 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump
707 747
708 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; 748 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; # in insns call in_HEX
709 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; 749 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; # out likewise
710 } 750 }
711 751
712 $insn .= "$op;\n"; 752 $insn .= "$op;\n";
713 } 753 }
714 754
715 755
716 $insn .= "$pc"; 756 $insn .= $pc;
717 $insn =~ s/\x00.*$//s; 757 $insn =~ s/\x00.*$//s;
718 758
719 eval "use integer; sub { $insn }" or die "$insn: $@" 759 eval "use integer; sub { $insn }" or die "$insn: $@"
720 })->(); 760 })->();
721 761
722 ++$CLK; 762 ++$CLK;
723 763
724 # things we do from time too time only 764 # things we do from time to time only
725 unless ($CLK & 0xf) { 765 unless ($CLK & 0xf) {
726 # do I/O 766 # do I/O
727 767
728 unless ($CLK & 0x7ff) { 768 unless ($CLK & 0xfff) {
769 if (select $x = $RIN, undef, undef, $POWERSAVE < 100 ? 0 : 0.2) {
729 770
730 # pty/serial I/O 771 # pty/serial I/O
731 unless (@PUSARTRECV || @KQUEUE || !$PTY) { 772 if ($PTY && (vec $x, fileno $PTY, 1) && (@PUSARTRECV < 128) && !@KQUEUE) {
732 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
733
734 if (select $rin, undef, undef, 0) {
735 sysread $PTY, my $buf, 256; 773 sysread $PTY, my $buf, 256;
774
775 # linux don't do cs7 and/or parity anymore, so we need to filter
776 # out xoff characters to avoid freezes.
736 push @PUSARTRECV, unpack "C*", $buf; 777 push @PUSARTRECV, grep { ($_ & 0x7f) != 0x13 } unpack "C*", $buf;
737 } 778 }
738 }
739 779
740 # keyboard input 780 # keyboard input
741 if ($KBD) { 781 if ($KBD && (vec $x, 0, 1)) {
782 # to avoid non-blocking mode on stdin (and stty min 0), we
783 # just read byte-by-byte after a select says there is data.
742 while (select my $rin = "\x01", undef, undef, 0) { 784 while (select my $rin = "\x01", undef, undef, 0) {
743 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF 785 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF
744 or last; 786 or last;
787 }
788
789 stdin_parse if length $STDIN_BUF;
745 } 790 }
746 791
747 stdin_parse if length $STDIN_BUF; 792 $POWERSAVE = 0; # activity
793 } elsif (@PUSARTRECV || @KQUEUE) {
794 $POWERSAVE = 0;
795 } else {
796 ++$POWERSAVE;
748 } 797 }
749 } 798 }
750 799
751 # kick off various interrupts 800 # kick off serial input interrupt quite often
752
753 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though) 801 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though)
754 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy 802 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy
755 803
756 # kick off vertical retrace form time to time 804 # kick off vertical retrace interrupt from time to time
757 unless ($CLK & 0x1ff) { 805 unless ($CLK & 0x1ff) {
758 $RST |= 4; # vertical retrace 806 $RST |= 4; # vertical retrace
759 } 807 }
760 808
761 # handle video hardware 809 # handle video hardware
762
763 unless ($CLK & 0x1fff) { 810 unless ($CLK & 0x3fff) {
764 prscr; 811 display;
765 } 812 }
766 } 813 }
767 814
768 # the interrupt logic 815 # the interrupt logic - we only interrupt after basic blocks
769 $x = $INTPEND & ~$INTMASK; 816 # which, as a side effect, ensures that we don't interrupt
770 if (($RST || $x) && $IFF) { 817 # "ei; ret" sequences and thus reduce the risk of stack overflows.
818 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
771 # rst 1 kbd data available 819 # rst 1 kbd data available
772 # rst 2 pusart xmit+recv flag 820 # rst 2 pusart xmit+recv flag
773 # rst 4 vertical retrace 821 # rst 4 vertical retrace
774 # 5.5 vt125 mb7 trans ready (serial send?) 822 # 5.5 vt125 mb7 trans ready (serial send?)
775 # 6.5 vt125 mb7 read ready (something modem?) 823 # 6.5 vt125 mb7 read ready (something modem?)
776 # 7.5 vt125 mb7 vblank h(?) 824 # 7.5 vt125 mb7 vblank h(?)
777 # trap vt125 mbi init h(?) 825 # trap vt125 mbi init h(?)
778 my $vec; 826 my $vec;
779 827
828 my $pend = $INTPEND & ~$INTMASK;
829
780 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 830 if ($pend & 1) { $vec = 0x2c; $INTPEND &= ~1;
781 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 831 } elsif ($pend & 2) { $vec = 0x34; $INTPEND &= ~2;
782 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 832 } elsif ($pend & 4) { $vec = 0x3c; $INTPEND &= ~4;
783# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # for some reason, this breaks vt102 833# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
784 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 834 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
785 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 835 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
786 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 836 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
787 } else { 837 } else {
788 die; 838 die;
789 } 839 }
790 840
841 # jump to the interrupt vector
791 $M[--$SP] = $PC >> 8; 842 $M[--$SP] = $PC >> 8;
792 $M[--$SP] = $PC & 0xff; 843 $M[--$SP] = $PC & 0xff;
793 $PC = $vec; 844 $PC = $vec;
794 845
795 $IFF = 0; 846 $IFF = 0;
796 } 847 }
797} 848}
849
850#############################################################################
851# roms in the data section + one newline
852#
853# vt100 @ 0x0000+0x0800 23-032E2
854# vt100 @ 0x0800+0x0800 23-061E2
855# vt100 @ 0x1000+0x0800 23-033E2
856# vt100 @ 0x1800+0x0800 23-034E2
857#
858# vt102 @ 0x0000+0x2000 23-226E4
859# vt102 @ 0x8000+0x2000 23-225E4
860#
861# vt131 @ 0xa000+0x0800 23-280E2
862#
798 863
799__DATA__ 864__DATA__
8001N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6 8651N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6
8012!0* w4ʘ> 2! ~î!N ̓/2!! "R !""  8662!0* w4ʘ> 2! ~î!N ̓/2!! "R !"" 
802! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u> 867! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u>
906 971
907.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ 972.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ
908\lkgfa';jhds .,nbx* :B!4 973\lkgfa';jhds .,nbx* :B!4
909!O!,ͳʄmÝ 974!O!,ͳʄmÝ
910! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N  975! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N 
9118>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 9768>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv^y5k6!
977"~ACŠ>Bw2"!z"pv"x">Cw2"!n"pv"l"!!~< N[>2,!NAp##!(0S{}ˠ>w# »xE##ö6T]#zpw#sX6#N|p}Hpv"x"7:
978"C*C!(0:!@W ==}wï2C!2D!z5*b Q!{!| gH:d G| gW]>6#k<|eoozW>Ê

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines