ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.4 by root, Mon Dec 1 18:31:02 2014 UTC vs.
Revision 1.26 by root, Fri Dec 5 00:09:47 2014 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20# this hack is not considered release ready in and way, shape, or form 20use 5.010;
21# ./vt102 bash 21use strict;
22# ./vt102 telnet towel.blinkenlights.nl 22use integer;
23# ./vt102 curl http://artscene.textfiles.com/vt100/trekvid.vt
24# ./vt102 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
25
26# TODO: ctrl
27
28use common::sense; 23#use common::sense;
29
30$| = 1;
31 24
32my $VT102 = 1; 25my $VT102 = 1;
33my $AVO = $VT102 || 1; 26my $VT131 = 0;
34my $KBD = 1; 27my $AVO = 1;
35 28
29shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
30shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
31shift if $ARGV[0] =~ /^-?-vt102$/;
32shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
33
34# vt100 wps = word processing roms
35# vt101 = vt102 - avo, but custom rom? really?
36# vt103 = vt100 + tu58 tape drive
37# vt125 = vt100 + gpo graphics processor
38# vt132 = vt100 + avo, stp
39# vt180 = vt100 + z80 cp/m
40
41if ($ARGV[0] =~ /^-/) {
42 die <<EOF;
43
44VT102, A VT100/102/131 SIMULATOR
45
46Usage:
47
48 $0 [option] [program [args]]
49
50Examples:
51
52 $0 bash
53 $0 telnet towel.blinkenlights.nl
54 $0 curl http://artscene.textfiles.com/vt100/trekvid.vt
55 $0 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
56
57Option can be one of:
58
59 --vt100
60 --vt100+avo
61 --vt102
62 --vt131
63
64Non-obvious special keys are:
65
66 SET UP Home
67 BACKSPACE Rubout
68 CAPS LOCK Prior/PgUp
69 NO SCROLL Next/PgDown
70 BREAK End
71
72Set-Up Guide:
73
74 http://vt100.net/docs/vt102-ug/chapter3.html#S3.6
75
76Author:
77
78 Marc Lehmann <vt102\@schmorp.de>
79
80EOF
81}
82
36############################################################################# 83#############################################################################
84# ROM/hardware init
37 85
38my $PTY; # the pty we allocated, if any 86my $PTY; # the pty we allocated, if any
87my $KBD = 1;
39 88
40my $ROM = do { 89my $ROMS = do {
41 binmode DATA; 90 binmode DATA;
42 local $/; 91 local $/;
43 <DATA> 92 <DATA>
44}; 93};
45 94
460x6001 == length $ROM or die "corrupted rom image"; 950x6801 == length $ROMS or die "corrupted rom image";
47
48binmode STDOUT;
49 96
50my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 97my @M = (0xff) x 65536; # main memory, = (0xff) x 65536;
51 98
52# populate mem with rom contents 99# populate mem with rom contents
53if ($VT102) { 100if ($VT102) {
54 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x2000, 0x2000; 101 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x2000, 0x2000;
55 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROM, 0x4000, 0x2000; 102 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROMS, 0x4000, 0x2000;
103 @M[0xa000 .. 0xa7ff] = unpack "C*", substr $ROMS, 0x6000, 0x0800 if $VT131;
56} else { 104} else {
57 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x0000, 0x2000; 105 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x0000, 0x2000;
58} 106}
59 107
60############################################################################# 108#############################################################################
61 109# 8085 CPU registers and I/O support
62my $PRSTATUS = 0;
63 110
64# 8080/8085 registers 111# 8080/8085 registers
65# b, c, d, e, h, l, a 112my ($A, $B, $C, $D, $E, $H, $L); # 8 bit general purpose
66my ($A, $B, $C, $D, $E, $H, $L, $A); 113my ($PC, $SP, $IFF); # program counter, stack pointer, interrupt flag
67my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 114my ($FA, $FZ, $FS, $FP, $FC); # condition codes (psw)
68 115
69my $RST = 0; # 8080 pending interrupts 116my $RST = 0; # pending interrupts (external interrupt logic)
70my $INTMASK = 7; # 8085 half interrupts 117my $INTMASK = 7; # 8085 half interrupt mask
71my $INTPEND = 0; # 8085 half interrupts 118my $INTPEND = 0; # 8085 half interrupts pending
72 119
73my $x; # dummy temp for instructions 120my $CLK; # rather inexact clock, counts extended basic blocks
74 121
75my $CLK; # rather inexact clock
76
77############################################################################# 122#############################################################################
123# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
78 124
79# nvram 125# nvram
80my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes 126my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes
81my $NVRADDR; 127my $NVRADDR;
82my $NVRDATA; 128my $NVRDATA;
83my $NVRLATCH; 129my $NVRLATCH;
84 130
85#$NVR[$_] = $_ for 0..99;#d#
86
87my @NVRCMD = ( 131my @NVRCMD = (
88 sub { # accept data
89 $NVRDATA = ($NVRDATA << 1) + $_[1]; 132 sub { $NVRDATA = ($NVRDATA << 1) + $_[1]; }, # 0 accept data
90 }, 133 sub { $NVRADDR = ($NVRADDR << 1) + $_[1]; }, # 1 accept addr
91 sub { # accept addr 134 sub { ($NVRDATA <<= 1) & 0x4000 }, # 2 shift out
92 $NVRADDR = ($NVRADDR << 1)+ $_[1]; 135 undef, # 3 not used, will barf
93 },
94 sub { # shift out
95 my $bit = $NVRDATA & 0x02000;
96 $NVRDATA *= 2;
97 $bit
98 },
99 undef,
100 sub { # write
101 print "NVR WRITE $_[0]\n";#d#
102 $NVR[$_[0]] = $NVRDATA & 0x3fff; 136 sub { $NVR[$_[0]] = $NVRDATA & 0x3fff; }, # 4 write
103 }, 137 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
104 sub { # erase 138 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
105 print "NVR ERASE $_[0]\n";#d# 139 sub { }, # 7 standby
106 $NVR[$_[0]] = 0x3fff;
107 },
108 sub { # read
109# print "NVR READ $_[0] = $NVR[$_[0]]\n";#d#
110 $NVRDATA = $NVR[$_[0]];
111 },
112 sub { # standby
113 },
114); 140);
115 141
116my @bitidx; 142my @NVR_BITIDX; $NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
117$bitidx[1 << $_] = 9 - $_ for 0..9;
118 143
119# the nvr1400 state machine. what a monster 144# the nvr1400 state machine. what a monster
120sub nvr() { 145sub nvr() {
121 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 146 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
122 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 147 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
123
124# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
125 148
126 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 149 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
127} 150}
128 151
129############################################################################# 152#############################################################################
153# I/O ports - output
130 154
131my $DC11 = 0; # 4 bit commands 155my $DC11_REVERSE = 0; # light background?
132my $DC12 = 0;
133 156
134my $XON = 1; # false if terminal wants us to pause 157my $XON = 1; # false if terminal wants us to pause
135my $PUSARTCMD; 158my $PUSARTCMD;
136 159
160my $KSTATUS; # keyboard status (click + scan flag + leds)
137my @KXMIT; # current scan queue 161my @KXMIT; # current scan queue
138my %KXMIT; # currently pressed keys 162my %KXMIT; # currently pressed keys
139my @KQUEUE; # key event queue 163my @KQUEUE; # key event queue
140my $KXCNT; # count for debouncew 164my $KXCNT; # count for debouncew
141my @PUSARTRECV; 165
142my $KSTATUS; 166my @PUSARTRECV; # serial input (to terminal) queue
143 167
144sub out_00 { # pusartdata 168sub out_00 { # pusartdata
145 # handle xon/xoff, but also pass it through 169 # handle xon/xoff, but also pass it through
146 if ($_[0] == 0x13) { 170 if ($_[0] == 0x13) {
147 $XON = 0; 171 $XON = 0;
154 syswrite $PTY, chr $_[0]; 178 syswrite $PTY, chr $_[0];
155 179
156 $INTPEND |= 1; 180 $INTPEND |= 1;
157} 181}
158 182
159sub out_01 { 183sub out_01 { # pusartcmd
160 $PUSARTCMD = shift; 184 $PUSARTCMD = shift;
161 185
162 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy 186 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy
163 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason 187 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason
164} 188}
165 189
166sub out_02 { } # baudrate generator 190sub out_02 { } # baudrate generator
167 191
168sub out_23 { } # unknown 192sub out_23 { } # vt102 unknown
169sub out_27 { } # unknown 193sub out_27 { } # vt102 unknown
170sub out_2f { } # unknown, connected to in 0f 194sub out_2f { } # vt102 unknown, connected to in 0f
171 195
172sub out_42 { } # brightness 196sub out_42 { } # brightness
173 197
174sub out_62 { 198sub out_62 { # nvr latch register (4 bits)
175 $NVRLATCH = shift; 199 $NVRLATCH = shift;
176} 200}
177 201
178sub out_a2 { $DC11 = shift } 202sub out_a2 { # device control 011
203 my $dc11 = 0x0f & shift;
204
205 $DC11_REVERSE = 1 if $dc11 == 0b1010;
206 $DC11_REVERSE = 0 if $dc11 == 0b1011;
207}
208
179sub out_c2 { } # unknown 209sub out_c2 { } # unknown
180sub out_d2 { $DC12 = shift } 210sub out_d2 { } # device control 012, 0..3 == 80c/132c/60hz/50hz
181 211
182sub out_82 { 212sub out_82 { # keyboard txmit
183 # keyboard 213 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4 (vt100)
184
185 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INSERT L1(?) 214 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1 (vt102)
186 # CLICK STARTSCAN ONLINE LOCKED | LED1 LED2 LED3 LED4
187 $KSTATUS = $_[0]; 215 $KSTATUS = $_[0];
188 216
189 # start new scan unless scan in progress 217 # start new scan unless scan is in progress
190 if (($_[0] & 0x40) && !@KXMIT) { 218 if (($_[0] & 0x40) && !@KXMIT) {
191 # do not reply with keys in locked mode 219 # do not reply with keys in locked mode
192 # or during post (0xff), 220 # or during post (0xff),
193 # mostly to skip init and not fail POST, 221 # mostly to skip init and not fail POST,
194 # and to send startup keys only when terminal is ready 222 # and to send startup keys only when terminal is ready
214 $RST |= 1; 242 $RST |= 1;
215 } 243 }
216} 244}
217 245
218############################################################################# 246#############################################################################
247# I/O ports - input
219 248
220my $NVRBIT; 249my $NVRBIT; # the current nvr data bit
221my $LBA; 250my $LBA6; # twice the frequenxy of LBA7
222 251
223sub in_00 { # pusart data 252sub in_00 { # pusart data
224 # interrupt not generated here, because infinite 253 # interrupt not generated here, because infinite
225 # speed does not go well with the vt102. 254 # speed does not go well with the vt102.
226 255
230sub in_01 { # pusart status 259sub in_01 { # pusart status
231 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY 260 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY
232 0x85 + (@PUSARTRECV && 0x02) 261 0x85 + (@PUSARTRECV && 0x02)
233} 262}
234 263
235sub in_22 { # modem buffer(?) 264sub in_22 { # modem buffer
236 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 265 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
237 0x20 266 0x20
238} 267}
239 268
240sub in_0f { } # unknown, connected to out 2f 269sub in_0f { 0xff } # vt102 unknown, connected to out 2f
241 270
242sub in_42 { # flag buffer 271sub in_42 { # flag buffer
243 ++$LBA; 272 ++$LBA6;
244 273
245 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA & 0x3) == 0x2; 274 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA6 & 0x3) == 0x2;
246 275
247 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY 276 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY
248 277
249 my $f = 0x85 | $NVRBIT; 278 my $f = 0x85 | $NVRBIT;
250 279
251 $f |= 0x02 unless $AVO; 280 $f |= 0x02 unless $AVO;
252 $f |= 0x40 if $LBA & 0x2; 281 $f |= 0x40 if $LBA6 & 0x2;
253 282
254 $f 283 $f
255} 284}
256 285
257sub in_82 { # tbmt keyboard uart 286sub in_82 { # tbmt keyboard uart
259 288
260 $RST |= 1; 289 $RST |= 1;
261 shift @KXMIT 290 shift @KXMIT
262} 291}
263 292
264sub in_03 { 0xff } # unknown, printer uart input? 293sub in_03 { 0xff } # vt102 unknown, printer uart input?
265sub in_0b { 0xff } # unknown 294sub in_0b { 0xff } # vt102 unknown
266sub in_17 { 0xff } # unknown, printer status clear by reading? 295sub in_17 { 0xff } # vt102 unknown, printer status clear by reading?
267sub in_1b { 0xff } # unknown 296sub in_1b { 0xff } # vt102 unknown
268 297
269############################################################################# 298#############################################################################
299# 8085 cpu opcodes and flag handling
270 300
301my $x; # dummy scratchpad for opcodes
302
271sub sf { # set flags (ZSC - AP not implemented) 303sub sf { # set flags, full version (ZSC - AP not implemented)
304 $FS = $_[0] & 0x080;
305 $FZ = !($_[0] & 0x0ff);
306 $FC = $_[0] & 0x100;
307
308 $_[0] &= 0xff;
309}
310
311sub sf8 { # set flags, for 8-bit results (ZSC - AP not implemented)
312 $FS = $_[0] & 0x080;
313 $FZ = !($_[0] & 0x0ff);
314 $FC = 0;
315}
316
317sub sf_nc { # set flags, except carry
272 $FS = $_[0] & 0x080; 318 $FS = $_[0] & 0x080;
273 $FZ = ($_[0] & 0x0ff) == 0; 319 $FZ = ($_[0] & 0x0ff) == 0;
274 $FC = $_[0] & 0x100;
275 320
276 $_[0] & 0xff 321 $_[0] &= 0xff;
277} 322}
278 323
279sub sf_nc { # set flags except carry 324# opcode table
280 $FS = $_[0] & 0x080;
281 $FZ = ($_[0] & 0x0ff) == 0;
282
283 $_[0] & 0xff
284}
285
286my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0 .. 255; 325my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
287my @ops;
288 326
289my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 327my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); # r/m encoding
290my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 328my @cc = ('!$FZ', '$FZ', '!$FC', '$FC', 'die;', 'die;', '!$FS', '$FS'); # cc encoding. die == unimplemented $FP parity
329
330$op[0x00] = ''; # nop
291 331
292# mov r,r / r,M / M,r 332# mov r,r / r,M / M,r
293for my $s (0..7) { 333for my $s (0..7) {
294 for my $d (0..7) { 334 for my $d (0..7) {
295 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; 335 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
296 } 336 }
297} 337}
298 338
299$op[0x00] = ''; 339$op[0x76] = 'die "HLT"'; # hlt (mov m,m)
340
341# mvi r / M
342$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7;
300 343
301$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 344$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
302$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 345$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
303$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 346$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
304$op[0x31] = '$SP = IMM16' ; # lxi #d# 0xf000 because of limited stack 347$op[0x31] = '$SP = IMM16' ; # lxi
305 348
306$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax 349$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax
307$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax 350$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax
308$op[0x32] = '$M[IMM16 ] = $A'; # sta 351$op[0x32] = '$M[IMM16 ] = $A'; # sta
309 352
353$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b
354$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d
355$op[0x3a] = '$A = $M[IMM16]'; # lda
356
357$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld
358$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld
359
310sub inxdcx($$$) { 360sub inxdcx($$$) {
311 $x = ($_[0] * 256 + $_[1] + $_[2]) & 0xffff; 361 $x = $_[0] * 256 + $_[1] + $_[2];
312 $_[0] = $x >> 8; 362 ($_[0], $_[1]) = (($x >> 8) & 0xff, $x & 0xff);
313 $_[1] = $x & 0xff;
314} 363}
315 364
316$op[0x03] = 'inxdcx $B, $C, 1'; # inx 365$op[0x03] = 'inxdcx $B, $C, 1'; # inx
317$op[0x13] = 'inxdcx $D, $E, 1'; # inx 366$op[0x13] = 'inxdcx $D, $E, 1'; # inx
318$op[0x23] = 'inxdcx $H, $L, 1'; # inx 367$op[0x23] = 'inxdcx $H, $L, 1'; # inx
321$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx 370$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx
322$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx 371$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx
323$op[0x3b] = '--$SP' ; # dcx 372$op[0x3b] = '--$SP' ; # dcx
324 373
325# "no carry" doesn't seem to be needed for vt100 - optimize? 374# "no carry" doesn't seem to be needed for vt100 - optimize?
326$op[0x04 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] + 1" for 0..7; # inr
327$op[0x05 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] - 1" for 0..7; # dcr
328
329# mvi r / M
330$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7; 375$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
376$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
377
378$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
379$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
380$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
381$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
331 382
332# getting this insn wrong (its the only 16 bit insn to modify flags) 383# getting this insn wrong (its the only 16 bit insn to modify flags)
333# wasted three of my best days with mindless vt102 rom reverse engineering 384# wasted three of my best days with mindless vt102 rom reverse engineering
334sub dad { 385sub dad {
335 $x = $H * 256 + $L + $_[0]; 386 $x = $H * 256 + $L + $_[0];
341$op[0x09] = 'dad $B * 256 + $C'; # dad 392$op[0x09] = 'dad $B * 256 + $C'; # dad
342$op[0x19] = 'dad $D * 256 + $E'; # dad 393$op[0x19] = 'dad $D * 256 + $E'; # dad
343$op[0x29] = 'dad $H * 256 + $L'; # dad 394$op[0x29] = 'dad $H * 256 + $L'; # dad
344$op[0x39] = 'dad $SP '; # dad 395$op[0x39] = 'dad $SP '; # dad
345 396
346$op[0x07] = ' $FC = $A >> 7; $A = ($A * 2 + $FC) & 0xff '; # rlc 397$op[0x2f] = '$A ^= 0xff'; # cma
347$op[0x17] = ' ($FC, $A) = ($A >> 7, ($A * 2 + $FC) & 0xff)'; # ral
348 398
349$op[0x0f] = ' $FC = $A & 1; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 399$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
350$op[0x1f] = ' ($FC, $A) = ($A & 1, ($A >> 1) | ($FC && 0x80))'; # rar 400$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
401$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
402$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
403$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
404$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
405$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
406$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
407# possible todo: optimize ora a, maybe xra a, possibly ana
351 408
352$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b 409$op[0xc6] = 'sf $A += IMM8'; # adi
353$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d 410$op[0xd6] = 'sf $A -= IMM8'; # sui
354$op[0x3a] = '$A = $M[IMM16]'; # lda 411$op[0xe6] = 'sf8 $A &= IMM8'; # ani
412$op[0xee] = 'sf8 $A ^= IMM8'; # xri
413$op[0xf6] = 'sf8 $A |= IMM8'; # ori
414$op[0xfe] = 'sf $A - IMM8'; # cpi
415# ce ACI NYI, apparently unused
416# de SBI NYI, apparently unused
355 417
418$op[0xc5] = 'PUSH $B; PUSH $C';
419$op[0xd5] = 'PUSH $D; PUSH $E';
420$op[0xe5] = 'PUSH $H; PUSH $L';
421$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
422
423$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
424$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
425$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
426$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
427
428$op[0xc2 + $_ * 8] = 'BRA IMM16 if ' . $cc[$_] for 0..7; # jcc
429$op[0xc3] = 'JMP IMM16'; # jmp
430
431$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) if ' . $cc[$_] for 0..7; # ccc
432$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
433
434$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 if ' . $cc[$_] for 0..7; # rcc
435$op[0xc9] = 'JMP POP + POP * 256'; # ret
436
437$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
438
439$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
440# f9 SPHL NYI, apparently unused
441
442$op[0x37] = '$FC = 1 '; # stc
443$op[0x3f] = '$FC = !$FC'; # cmc
444
445$op[0xd3] = 'OUT'; # out
446$op[0xdb] = 'IN'; # in
447
448$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
449
450# e3 xthl NYI # @ 917b in e69, hl <-> (sp)
451
356$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 452$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (8085, incomplete)
357$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 453$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (8085, incomplete)
358 454
359$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld 455$op[0xf3] = '$IFF = 0'; # di
360$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld 456$op[0xfb] = '$IFF = 1'; # ei
361 457
362# yeah, the fucking setup screens actually use daa... 458# yeah, the fucking setup screen actually uses daa...
363$op[0x27] = ' 459$op[0x27] = '
364 my ($h, $l); 460 my ($h, $l);
365 461
366 ($h, $l) = ($A >> 4, $A & 15); 462 ($h, $l) = ($A >> 4, $A & 15);
367 463
368 if ($l > 9 || $FA) { 464 if ($l > 9 || $FA) {
369 $A = sf $A + 6; 465 sf $A += 6;
370 ($h, $l) = ($A >> 4, $A & 15); 466 ($h, $l) = ($A >> 4, $A & 15);
371 } 467 }
372 468
373 if ($h > 9 || $FC) { 469 if ($h > 9 || $FC) {
374 $h += 6; 470 $h += 6;
375 $A = ($h * 16 + $l) & 0xff; 471 $A = ($h * 16 + $l) & 0xff;
376 } 472 }
377'; # daa, almost certainly borked, also, acarry not set by sf 473'; # daa, almost certainly borked, also, acarry not set by sf
378 474
379$op[0x2f] = '$A ^= 0xff'; # cma
380
381$op[0x37] = '$FC = 1 '; # stc
382$op[0x3f] = '$FC = !$FC'; # cmc
383
384$op[0x76] = 'die "HLT"'; # hlt
385
386$op[0x80 + $_] = '$A = sf $A + ' . $reg[$_] for 0..7; # add
387$op[0x88 + $_] = '$A = sf $A + $FC + ' . $reg[$_] for 0..7; # adc
388$op[0x90 + $_] = '$A = sf $A - ' . $reg[$_] for 0..7; # sub
389$op[0x98 + $_] = '$A = sf $A - $FC - ' . $reg[$_] for 0..7; # sbb
390$op[0xa0 + $_] = '$A = sf $A & ' . $reg[$_] for 0..7; # ana
391$op[0xa8 + $_] = '$A = sf $A ^ ' . $reg[$_] for 0..7; # xra
392$op[0xb0 + $_] = '$A = sf $A | ' . $reg[$_] for 0..7; # ora
393$op[0xb8 + $_] = ' sf $A - ' . $reg[$_] for 0..7; # cmp
394# possible todo: optimize ora a, maybe xra a
395
396$op[0xc6 + $_] = '$A = sf $A + IMM8'; # adi
397$op[0xd6 + $_] = '$A = sf $A - IMM8'; # sui
398$op[0xe6 + $_] = '$A = sf $A & IMM8'; # ani
399$op[0xee + $_] = '$A = sf $A ^ IMM8'; # xri
400$op[0xf6 + $_] = '$A = sf $A | IMM8'; # ori
401$op[0xfe + $_] = ' sf $A - IMM8'; # cpi
402
403$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
404$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
405$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
406$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = (!!($x & 0x80), !!($x & 0x40), !!($x & 0x10), !!($x & 0x04), !!($x & 0x01))'; # pop psw
407
408$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
409
410$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
411$op[0xc3] = 'JMP IMM16'; # jmp
412
413$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
414$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
415
416$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
417
418$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
419$op[0xc9] = 'JMP POP + POP * 256'; # ret
420
421$op[0xc5] = 'PUSH $B; PUSH $C';
422$op[0xd5] = 'PUSH $D; PUSH $E';
423$op[0xe5] = 'PUSH $H; PUSH $L';
424$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
425
426$op[0xd3] = 'OUT'; # out
427$op[0xdb] = 'IN'; # in
428
429# e3 xthl @ 917b, hl <-> (sp)
430
431$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
432
433$op[0xf3] = '$IFF = 0'; # DI
434$op[0xfb] = '$IFF = 1'; # EI
435
436@ops = @op; # for debugging #d#
437
438############################################################################# 475#############################################################################
476# debug
439 477
440# print cpu status, for debugging 478# print cpu status, for debugging
441sub status { 479sub status {
442 my $PC = shift || $PC; 480 my $PC = shift || $PC;
443 481
447 ($FZ ? "1" : "0") 485 ($FZ ? "1" : "0")
448 . ($FS ? "1" : "0") 486 . ($FS ? "1" : "0")
449 . ($FC ? "1" : "0") 487 . ($FC ? "1" : "0")
450 . ($FA ? "1" : "0") 488 . ($FA ? "1" : "0")
451 . ($FP ? "1" : "0"), 489 . ($FP ? "1" : "0"),
452 $M[$PC], $ops[$M[$PC]]; 490 $M[$PC], $op[$M[$PC]];
453} 491}
454 492
455############################################################################# 493#############################################################################
494# video emulation
456 495
457my @chr = ( 496binmode STDOUT;
458 " ", 497
459 "\x{29eb}", 498my @CHARMAP = ( # acschars / chars 0..31
460 "\x{2592}", 499 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
461 "\x{2409}", 500 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
462 "\x{240c}", 501 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
463 "\x{240d}", 502 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
464 "\x{240a}", 503 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
465 "\x{00b0}", 504 "\x{23bd}", "\x{251c}", "\x{2524}", "\x{2534}",
466 "\x{00b1}", 505 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}",
467 "\x{2424}", 506 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}",
468 "\x{240b}",
469 "\x{2518}",
470 "\x{2510}",
471 "\x{250c}",
472 "\x{2514}",
473 "\x{253c}",
474 "\x{23ba}",
475 "\x{23bb}",
476 "\x{2500}",
477 "\x{23bc}",
478 "\x{23bd}",
479 "\x{251c}",
480 "\x{2524}",
481 "\x{2534}",
482 "\x{252c}",
483 "\x{2502}",
484 "\x{2264}",
485 "\x{2265}",
486 "\x{03c0}",
487 "\x{2260}",
488 "\x{00a3}",
489 "\x{00b7}",
490 (map chr, 0x020 .. 0x7e), 507 (map chr, 0x020 .. 0x7e),
491 "?",
492); 508);
493 509
494utf8::encode $_ for @chr; 510utf8::encode $_ for @CHARMAP;
495 511
496sub prscr { 512my @SGR; # sgr sequences for attributes
513
514for (0x00 .. 0xff) {
515 my $sgr = "";
516
517 # ~1 sgr 5 blink
518 # ~2 sgr 4 underline
519 # ~4 sgr 1 bold
520 # 0x80 in attr, sgr 7, reversed
521
522 $sgr .= ";5" unless $_ & 0x01;
523 $sgr .= ";4" unless $_ & 0x02;
524 $sgr .= ";1" unless $_ & 0x04;
525 $sgr .= ";7" if $_ & 0x80;
526
527 $SGR[$_] = "\e[${sgr}m";
528}
529
530my @LED = $VT102
531 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
532 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
533
534my $CURSOR_IS_ON;
535
536# display screen
537sub display {
538 # this is for the powersave mode - check whether the cursor is on here,
539 # and only allow powersave later when it was on the last display time
540 $CURSOR_IS_ON = $M[$VT102 ? 0x207b : 0x21ba];
541
497 my $i = 0x2000; 542 my $i = 0x2000;
498 543
499 my $scr = sprintf "\x1b[H--- KBD %08b CLK %d PC %04x RST %03b IFF %01b PUS %02x IM %03b\x1b[K\n", $KSTATUS, $CLK, $PC, $RST, $IFF, $PUSARTCMD, $INTMASK; 544 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
500 545
546 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
547
548 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l");
549
501 line: 550 line:
502 for my $y (0 .. 25) { 551 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines
552 my $prev_sgr;
553
503 $scr .= sprintf "%2d |", ++$y; 554 $scr .= sprintf "%2d \xe2\x94\x82", $y;
504 555
505 for (0..140) { 556 for (0..139) {
506 my $c = $M[$i++]; 557 my $c = $M[$i];
507
508# printf "%04x %02x\n", $i-1,$c;
509 558
510 if ($c == 0x7f) { # also 0xff, but the firmware avoids that 559 if ($c == 0x7f) { # also 0xff, but the firmware avoids that
511 $scr .= "|\x1b[K\n"; 560 $scr .= "\e[m\xe2\x94\x82\e[K\n";
512 561
513 my $a1 = $M[$i++]; 562 my $a1 = $M[$i + 1];
514 my $a0 = $M[$i++]; 563 my $a0 = $M[$i + 2];
515 564
516 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff); 565 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff);
517 566
518 next line; 567 next line;
519 } 568 }
520 569
521 $scr .= "\x1b[7m" if $c & 0x80; 570 my $sgr = $SGR[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)];
522 $scr .= $chr[$c & 0x7f] // sprintf "[%02x]", $c & 0x7f; 571
523 $scr .= "\x1b[m" if $c & 0x80; 572 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr;
573
574 $scr .= $CHARMAP[$c & 0x7f];
524 } 575 }
525 576
526 $scr .= "\x1b[K\noverflow\x1b[K\n"; 577 $scr .= "\e[K\nvideo overflow\e[K\n";
527 last; 578 last;
528 } 579 }
529 580
530 if (0) {
531 $scr .= "\x1b[K\n";
532 for my $o (0x200 .. 0x232) {
533 $scr .= sprintf "%04x:", $o * 16;
534 for (0..15) {
535 $scr .= sprintf " %02x", $M[$o * 16 + $_];
536 }
537 $scr .= "\x1b[K\n";
538 }
539 }
540
541 $scr .= "\x1b[J"; 581 $scr .= "\e[m\e[J";
542 582
543 syswrite STDOUT, $scr; 583 syswrite STDOUT, $scr;
544} 584}
545 585
546############################################################################# 586#############################################################################
587# keyboard handling
547 588
548if (@ARGV) { 589# 0x080 shift, 0x100 ctrl
549 require IO::Pty; 590my %KEYMAP = (
550 $PTY = IO::Pty->new; 591 "\t" => 0x3a,
592 "\r" => 0x64,
593 "\n" => 0x44,
594
595 "\x00" => 0x77 | 0x100, # CTRL-SPACE
596 "\x1c" => 0x45 | 0x100, # CTRL-\
597 "\x1d" => 0x14 | 0x100, # CTRL-]
598 "\x1e" => 0x24 | 0x100, # CTRL-~
599 "\x1f" => 0x75 | 0x100, # CTRL-?
600
601 # hardcoded rxvt keys
602 "\e" => 0x2a, # ESC
603 "\e[3~" => 0x03, # DC
604 "\e[5~" => 0x7e, # CAPS LOCK (prior)
605 "\e[6~" => 0x6a, # NO SCROLL (next)
606 "\e[A" => 0x30, # UP
607 "\e[B" => 0x22, # DOWN
608 "\e[C" => 0x10, # RIGHT
609 "\e[D" => 0x20, # LEFT
610 "\e[a" => 0x30 | 0x080, # UP
611 "\e[b" => 0x22 | 0x080, # DOWN
612 "\e[c" => 0x10 | 0x080, # RIGHT
613 "\e[d" => 0x20 | 0x080, # LEFT
614 "\e[7~" => 0x7b, # SETUP (home)
615 "\e[8~" => 0x23, # BREAK (end)
616 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
617 "\x7f" => 0x33, # BACKSPACE
618
619 "\e[11~" => 0x32, # F1
620 "\e[11~" => 0x42, # F2
621 "\e[11~" => 0x31, # F3
622 "\e[11~" => 0x41, # F4
623);
551 624
552 my $slave = $PTY->slave; 625@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
626 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
627 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
553 628
554 $PTY->set_winsize (24, 80); 629$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl
630$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
555 631
556 unless (fork) { 632my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
557 $ENV{TERM} = $VT102 ? "vt102" : "vt100"; 633$KEYMATCH = qr{^($KEYMATCH)}s;
558 634
559 close $PTY; 635my %KMOD; # currently pressed modifier keys
560 636
561 open STDIN , "<&", $slave; 637sub key {
562 open STDOUT, ">&", $slave; 638 my ($key) = @_;
563 open STDERR, ">&", $slave;
564 639
565 system "stty ixoff erase ^H"; 640 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
641 push @KQUEUE, -0x7d if !($key & 0x080) && delete $KMOD{0x7d}; # shift-up
566 642
567 $PTY->make_slave_controlling_terminal; 643 push @KQUEUE, 0x7c if $key & 0x100 && !$KMOD{0x7c}++; # ctrl-down
568 $PTY->close_slave; 644 push @KQUEUE, 0x7d if $key & 0x080 && !$KMOD{0x7d}++; # shift-down
569 645
570 exec @ARGV; 646 $key &= 0x7f;
571 } 647 push @KQUEUE, $key, -$key;
572
573 $PTY->close_slave;
574
575} else {
576 open $PTY, "</dev/null" or die;#d
577} 648}
578 649
579############################################################################# 650my $STDIN_BUF;
580 651
652sub stdin_parse {
653 key $KEYMAP{$1}
654 while $STDIN_BUF =~ s/$KEYMATCH//;
655
656 # skip input we can't decipher
657 substr $STDIN_BUF, 0, 1, "";
658}
659
660if ($KBD) {
661 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
662 eval q{ sub END { system "stty sane" } };
663 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
664}
665
666#############################################################################
581# initial key input, to set up online mode etc. 667# initial key input, to set up online mode etc.
668# could be done via nvram defaults
669
582@KQUEUE = ( 670@KQUEUE = (
583 0x7b, -0x7b, # setup 671 0x7b, -0x7b, # setup
584 0, # delay 672 0, # delay
585 0x28, -0x28, # 4, toggle local/online 673 0x28, -0x28, # 4, toggle local/online
586 0x38, -0x38, # 5, setup b 674 0x38, -0x38, # 5, setup b
597 0x37, -0x37, # 6 toggle wrap around 685 0x37, -0x37, # 6 toggle wrap around
598 0x7b, -0x7b, # leave setup 686 0x7b, -0x7b, # leave setup
599); 687);
600 688
601############################################################################# 689#############################################################################
690# process/pty management
602 691
603# 0x80 shift, 0x100 ctrl, 0x200 toggle 692if (1) {
604my %KEYMAP = ( 693 require IO::Pty;
605 "\t" => 0x3a, 694 $PTY = IO::Pty->new;
606 "\r" => 0x64,
607 "\n" => 0x44,
608 695
609 # hardcoded rxvt keys 696 my $slave = $PTY->slave;
610 "\e" => 0x2a, # ESC
611 "\e[3~" => 0x03, # DC
612 "\e[5~" => 0x7e, # CAPS LOCK (prior)
613 "\e[6~" => 0x6a, # NO SCROLL (next)
614 "\e[A" => 0x30, # UP
615 "\e[B" => 0x22, # DOWN
616 "\e[C" => 0x10, # RIGHT
617 "\e[D" => 0x20, # LEFT
618 "\e[a" => 0x30 | 0x080, # UP
619 "\e[b" => 0x22 | 0x080, # DOWN
620 "\e[c" => 0x10 | 0x080, # RIGHT
621 "\e[d" => 0x20 | 0x080, # LEFT
622 "\e[7~" => 0x7b, # SETUP (home)
623 "\e[8~" => 0x23, # BREAK (end)
624 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
625 "\x7f" => 0x33, # BACKSPACE
626 697
627 "\e[11~" => 0x32, # F1 698 $PTY->set_winsize (24, 80);
628 "\e[11~" => 0x42, # F2
629 "\e[11~" => 0x31, # F3
630 "\e[11~" => 0x41, # F4
631);
632 699
633@KEYMAP{map chr, 0x20..0x40} = unpack "C*", pack "H*", 700 unless (fork) {
634 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9"; 701 $ENV{LC_ALL} = "C";
702 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
635 703
636@KEYMAP{map chr, 0x5b .. 0x7e} = unpack "C*", pack "H*", 704 close $PTY;
637 "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4";
638 705
639$KEYMAP{"\x3f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl 706 open STDIN , "<&", $slave;
640$KEYMAP{uc $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift 707 open STDOUT, ">&", $slave;
708 open STDERR, ">&", $slave;
641 709
642my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 710 system "stty ixoff erase ^H";
643$KEYMATCH = qr{^($KEYMATCH)}s;
644 711
645sub key { 712 $PTY->make_slave_controlling_terminal;
646 my ($key) = @_; 713 $PTY->close_slave;
647 714
648 state %MOD; 715 @ARGV = "sh" unless @ARGV;
716 exec @ARGV;
717 }
649 718
650 push @KQUEUE, -0x7c if !($key & 0x100) && delete $MOD{0x7c}; # ctrl-up 719 $PTY->close_slave;
651 push @KQUEUE, -0x7d if !($key & 0x080) && delete $MOD{0x7d}; # shift-up 720} else {
652 721 open $PTY, "+</dev/null"
653 push @KQUEUE, 0x7c if $key & 0x100 && !$MOD{0x7c}++; # ctrl-down 722 or die "/dev/null: $!";
654 push @KQUEUE, 0x7d if $key & 0x080 && !$MOD{0x7d}++; # shift-down
655
656 $key &= 0x7f;
657 push @KQUEUE, $key, -$key;
658} 723}
659 724
660my $STDIN_BUF;
661
662sub stdin_parse {
663 key $KEYMAP{$1}
664 while $STDIN_BUF =~ s/$KEYMATCH//;
665
666 # skip input we can't decipher
667 substr $STDIN_BUF, 0, 1, "";
668}
669
670if ($KBD) {
671 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
672 eval q{ sub END { system "stty sane" } };
673 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
674}
675
676############################################################################# 725#############################################################################
726# the actual hardware simulator
677 727
678my @ICACHE; # compiled instruction cache 728my @ICACHE; # compiled instruction/basic block cache
679 729
730my $POWERSAVE; # powersave counter
731
732my $RIN; # libev for the less well-off
733
734(vec $RIN, 0, 1) = 1 if $KBD;
735(vec $RIN, fileno $PTY, 1) = 1 if $PTY;
736
680# the cpu 737# the cpu.
681while () { 738while () {
682
683 # execute extended basic blocks 739 # execute an extended basic block
684 $PC = ($ICACHE[$PC] ||= do { 740 $PC = ($ICACHE[$PC] ||= do {
685 my $pc = $PC; 741 my $pc = $PC;
686 742
687 my $insn = ""; 743 my $insn = "";
688 744
689 # the jit compiler 745 # the jit compiler
690 for (0..15) { 746 for (0..31) {
691
692 # optional tracing support
693 if (0) {
694 $insn .= qq<
695 if (\$PRSTATUS) {
696 status $pc;
697 die unless --\$PRSTATUS;
698 }
699 >;
700 }
701
702 my $imm; 747 my $imm;
703 my $op = $op[$M[$pc++]]; 748 my $op = $op[$M[$pc++]];
704 749
705 for ($op) { 750 for ($op) {
706 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack 751 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack
711 756
712 s/\bPC\b/$pc/ge; # PC at end of insn 757 s/\bPC\b/$pc/ge; # PC at end of insn
713 s/\bBRA\b/return/g; # conditional jump 758 s/\bBRA\b/return/g; # conditional jump
714 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump 759 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump
715 760
716 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; 761 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; # in insns call in_HEX
717 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; 762 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; # out likewise
718 } 763 }
719 764
720 $insn .= "$op;\n"; 765 $insn .= "$op;\n";
721 } 766 }
722 767
723 768
724 $insn .= "$pc"; 769 $insn .= $pc;
725 $insn =~ s/\x00.*$//s; 770 $insn =~ s/\x00.*$//s;
726 771
727 eval "use integer; sub { $insn }" or die "$insn: $@" 772 eval "sub { $insn }" or die "$insn: $@"
728 })->(); 773 })->();
729 774
730 ++$CLK; 775 ++$CLK;
731 776
732 # things we do from time too time only 777 # things we do from time to time only
733 unless ($CLK & 0xf) { 778 unless ($CLK & 0xf) {
734 # do I/O 779 # do I/O
735 780
736 unless ($CLK & 0x7ff) { 781 unless ($CLK & 0xfff) {
782 if (select $x = $RIN, undef, undef, $POWERSAVE < 100 ? 0 : $CURSOR_IS_ON && 60) {
737 783
738 # pty/serial I/O 784 # pty/serial I/O
739 unless (@PUSARTRECV || @KQUEUE || !$PTY) { 785 if ($PTY && (vec $x, fileno $PTY, 1) && (@PUSARTRECV < 128) && !@KQUEUE) {
740 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
741
742 if (select $rin, undef, undef, 0) {
743 sysread $PTY, my $buf, 256; 786 sysread $PTY, my $buf, 256;
787
788 # linux don't do cs7 and/or parity anymore, so we need to filter # out xoff characters to avoid freezes.
744 push @PUSARTRECV, unpack "C*", $buf; 789 push @PUSARTRECV, grep { ($_ & 0x7f) != 0x13 } unpack "C*", $buf;
745 } 790 }
746 }
747 791
748 # keyboard input 792 # keyboard input
749 if ($KBD) { 793 if ($KBD && (vec $x, 0, 1)) {
794 # to avoid non-blocking mode on stdin (and stty min 0), we
795 # just read byte-by-byte after a select says there is data.
750 while (select my $rin = "\x01", undef, undef, 0) { 796 while (select my $rin = "\x01", undef, undef, 0) {
751 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF 797 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF
752 or last; 798 or last;
799 }
800
801 stdin_parse if length $STDIN_BUF;
753 } 802 }
754 803
755 stdin_parse if length $STDIN_BUF; 804 $POWERSAVE = 0; # activity
805 } elsif (@PUSARTRECV || @KQUEUE) {
806 $POWERSAVE = 0;
807 } else {
808 ++$POWERSAVE;
756 } 809 }
757 } 810 }
758 811
759 # kick off various interrupts 812 # kick off serial input interrupt quite often
760
761 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though) 813 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though)
762 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy 814 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy
763 815
764 # kick off vertical retrace form time to time 816 # kick off vertical retrace interrupt from time to time
765 unless ($CLK & 0x3ff) { 817 unless ($CLK & 0x1ff) {
766 $RST |= 4; # vertical retrace 818 $RST |= 4; # vertical retrace
767 } 819 }
768 820
769 # handle video hardware 821 # handle video hardware
770
771 unless ($CLK & 0x1fff) { 822 unless ($CLK & 0x3fff) {
772 prscr; 823 display;
773 } 824 }
774 } 825 }
775 826
776 # the interrupt logic 827 # the interrupt logic - we only interrupt after basic blocks
777 $x = $INTPEND & ~$INTMASK; 828 # which, as a side effect, ensures that we don't interrupt
778 if (($RST || $x) && $IFF) { 829 # "ei; ret" sequences and thus reduce the risk of stack overflows.
830 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
779 # rst 1 kbd data available 831 # rst 1 kbd data available
780 # rst 2 pusart xmit+recv flag 832 # rst 2 pusart xmit+recv flag
781 # rst 4 vertical retrace 833 # rst 4 vertical retrace
782 # 5.5 vt125 mb7 trans ready (serial send?) 834 # 5.5 vt125 mb7 trans ready (serial send?)
783 # 6.5 vt125 mb7 read ready (something modem?) 835 # 6.5 vt125 mb7 read ready (something modem?)
784 # 7.5 vt125 mb7 vblank h(?) 836 # 7.5 vt125 mb7 vblank h(?)
785 # trap vt125 mbi init h(?) 837 # trap vt125 mbi init h(?)
786 my $vec; 838 my $vec;
787 839
840 my $pend = $INTPEND & ~$INTMASK;
841
788 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 842 if ($pend & 1) { $vec = 0x2c; $INTPEND &= ~1;
789 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 843 } elsif ($pend & 2) { $vec = 0x34; $INTPEND &= ~2;
790 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 844 } elsif ($pend & 4) { $vec = 0x3c; $INTPEND &= ~4;
791# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # for some reason, this breaks vt102 845# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
792 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 846 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
793 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 847 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
794 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 848 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
795 } else { 849 } else {
796 die; 850 die;
797 } 851 }
798 852
853 # jump to the interrupt vector
799 $M[--$SP] = $PC >> 8; 854 $M[--$SP] = $PC >> 8;
800 $M[--$SP] = $PC & 0xff; 855 $M[--$SP] = $PC & 0xff;
801 $PC = $vec; 856 $PC = $vec;
802 857
803 $IFF = 0; 858 $IFF = 0;
804 } 859 }
805} 860}
861
862#############################################################################
863# roms in the data section + one newline
864#
865# vt100 @ 0x0000+0x0800 23-032E2
866# vt100 @ 0x0800+0x0800 23-061E2
867# vt100 @ 0x1000+0x0800 23-033E2
868# vt100 @ 0x1800+0x0800 23-034E2
869#
870# vt102 @ 0x0000+0x2000 23-226E4
871# vt102 @ 0x8000+0x2000 23-225E4
872#
873# vt131 @ 0xa000+0x0800 23-280E2
874#
806 875
807__DATA__ 876__DATA__
8081N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6 8771N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6
8092!0* w4ʘ> 2! ~î!N ̓/2!! "R !""  8782!0* w4ʘ> 2! ~î!N ̓/2!! "R !"" 
810! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u> 879! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u>
914 983
915.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ 984.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ
916\lkgfa';jhds .,nbx* :B!4 985\lkgfa';jhds .,nbx* :B!4
917!O!,ͳʄmÝ 986!O!,ͳʄmÝ
918! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N  987! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N 
9198>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 9888>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv^y5k6!
989"~ACŠ>Bw2"!z"pv"x">Cw2"!n"pv"l"!!~< N[>2,!NAp##!(0S{}ˠ>w# »xE##ö6T]#zpw#sX6#N|p}Hpv"x"7:
990"C*C!(0:!@W ==}wï2C!2D!z5*b Q!{!| gH:d G| gW]>6#k<|eoozW>Ê

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines