ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.3 by root, Mon Dec 1 18:26:20 2014 UTC vs.
Revision 1.33 by root, Wed Nov 11 16:32:21 2015 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20# this hack is not considered release ready in and way, shape, or form 20use 5.010;
21# ./vt102 bash 21use strict;
22# ./vt102 telnet towel.blinkenlights.nl 22use integer;
23# ./vt102 curl http://artscene.textfiles.com/vt100/trekvid.vt
24# ./vt102 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
25
26# TODO: ctrl
27
28use common::sense; 23#use common::sense;
29
30$| = 1;
31 24
32my $VT102 = 1; 25my $VT102 = 1;
33my $AVO = $VT102 || 1; 26my $VT131 = 0;
34my $KBD = 1; 27my $AVO = 1;
35 28
29shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
30shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
31shift if $ARGV[0] =~ /^-?-vt102$/;
32shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
33
34# vt100 wps = word processing roms
35# vt101 = vt102 - avo, but custom rom? really?
36# vt103 = vt100 + tu58 tape drive
37# vt125 = vt100 + gpo graphics processor
38# vt132 = vt100 + avo, stp
39# vt180 = vt100 + z80 cp/m
40
41if ($ARGV[0] =~ /^-/) {
42 die <<EOF;
43
44VT102, A VT100/102/131 SIMULATOR
45
46Usage:
47
48 $0 [option] [program [args]]
49
50Examples:
51
52 $0 bash
53 $0 telnet towel.blinkenlights.nl
54 $0 curl http://artscene.textfiles.com/vt100/trekvid.vt
55 $0 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
56
57Option can be one of:
58
59 --vt100
60 --vt100+avo
61 --vt102
62 --vt131
63
64Non-obvious special keys are:
65
66 SET UP Home
67 BACKSPACE Rubout
68 CAPS LOCK Prior/PgUp
69 NO SCROLL Next/PgDown
70 BREAK End
71 CTRL-C Insert
72
73Set-Up Guide:
74
75 http://vt100.net/docs/vt102-ug/chapter3.html#S3.6
76
77Author:
78
79 Marc Lehmann <vt102\@schmorp.de>
80
81EOF
82}
83
36############################################################################# 84#############################################################################
85# ROM/hardware init
37 86
38my $PTY; # the pty we allocated, if any 87my $PTY; # the pty we allocated, if any
88my $KBD = 1;
39 89
40my $ROM = do { 90my $ROMS = do {
41 binmode DATA; 91 binmode DATA;
42 local $/; 92 local $/;
43 <DATA> 93 <DATA>
44}; 94};
45 95
460x6001 == length $ROM or die "corrupted rom image"; 960x6801 == length $ROMS or die "corrupted rom image";
47 97
48binmode STDOUT;
49
50my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 98my @M = (0xff) x 65536; # main memory
51 99
52# populate mem with rom contents 100# populate mem with rom contents
53if ($VT102) { 101if ($VT102) {
54 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x2000, 0x2000; 102 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x2000, 0x2000;
55 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROM, 0x4000, 0x2000; 103 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROMS, 0x4000, 0x2000;
104 @M[0xa000 .. 0xa7ff] = unpack "C*", substr $ROMS, 0x6000, 0x0800 if $VT131;
56} else { 105} else {
57 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x0000, 0x2000; 106 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x0000, 0x2000;
58} 107}
59 108
60############################################################################# 109#############################################################################
61 110# 8085 CPU registers and I/O support
62my $PRSTATUS = 0;
63 111
64# 8080/8085 registers 112# 8080/8085 registers
65# b, c, d, e, h, l, a 113my ($A, $B, $C, $D, $E, $H, $L); # 8 bit general purpose
66my ($A, $B, $C, $D, $E, $H, $L, $A); 114my ($PC, $SP, $IFF); # program counter, stack pointer, interrupt flag
67my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 115my ($FA, $FZ, $FS, $FP, $FC); # condition codes (psw)
68 116
69my $RST = 0; # 8080 pending interrupts 117my $RST = 0; # pending interrupts (external interrupt logic)
70my $INTMASK = 7; # 8085 half interrupts 118my $INTMASK = 7; # 8085 half interrupt mask
71my $INTPEND = 0; # 8085 half interrupts 119my $INTPEND = 0; # 8085 half interrupts pending
72 120
73my $x; # dummy temp for instructions 121my $CLK; # rather inexact clock, counts extended basic blocks
74 122
75my $CLK; # rather inexact clock
76
77############################################################################# 123#############################################################################
124# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
78 125
79# nvram 126# nvram
80my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes 127my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes
81my $NVRADDR; 128my $NVRADDR;
82my $NVRDATA; 129my $NVRDATA;
83my $NVRLATCH; 130my $NVRLATCH;
84 131
85#$NVR[$_] = $_ for 0..99;#d#
86
87my @NVRCMD = ( 132my @NVRCMD = (
88 sub { # accept data
89 $NVRDATA = ($NVRDATA << 1) + $_[1]; 133 sub { $NVRDATA = ($NVRDATA << 1) + $_[1]; }, # 0 accept data
90 }, 134 sub { $NVRADDR = ($NVRADDR << 1) + $_[1]; }, # 1 accept addr
91 sub { # accept addr 135 sub { ($NVRDATA <<= 1) & 0x4000 }, # 2 shift out
92 $NVRADDR = ($NVRADDR << 1)+ $_[1]; 136 undef, # 3 not used, will barf
93 },
94 sub { # shift out
95 my $bit = $NVRDATA & 0x02000;
96 $NVRDATA *= 2;
97 $bit
98 },
99 undef,
100 sub { # write
101 print "NVR WRITE $_[0]\n";#d#
102 $NVR[$_[0]] = $NVRDATA & 0x3fff; 137 sub { $NVR[$_[0]] = $NVRDATA & 0x3fff; }, # 4 write
103 }, 138 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
104 sub { # erase 139 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
105 print "NVR ERASE $_[0]\n";#d# 140 sub { }, # 7 standby
106 $NVR[$_[0]] = 0x3fff;
107 },
108 sub { # read
109# print "NVR READ $_[0] = $NVR[$_[0]]\n";#d#
110 $NVRDATA = $NVR[$_[0]];
111 },
112 sub { # standby
113 },
114); 141);
115 142
116my @bitidx; 143my @NVR_BITIDX; $NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
117$bitidx[1 << $_] = 9 - $_ for 0..9;
118 144
119# the nvr1400 state machine. what a monster 145# the nvr1400 state machine. what a monster
120sub nvr() { 146sub nvr() {
121 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 147 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
122 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 148 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
123
124# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
125 149
126 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 150 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
127} 151}
128 152
129############################################################################# 153#############################################################################
154# I/O ports - output
130 155
131my $DC11 = 0; # 4 bit commands 156my $DC11_REVERSE = 0; # light background?
132my $DC12 = 0;
133 157
134my $XON = 1; # false if terminal wants us to pause 158my $XON = 1; # false if terminal wants us to pause
135my $PUSARTCMD; 159my $PUSARTCMD;
136 160
161my $KSTATUS; # keyboard status (click + scan flag + leds)
137my @KXMIT; # current scan queue 162my @KXMIT; # current scan queue
138my %KXMIT; # currently pressed keys 163my %KXMIT; # currently pressed keys
139my @KQUEUE; # key event queue 164my @KQUEUE; # key event queue
140my $KXCNT; # count for debouncew 165my $KXCNT; # count for debouncew
141my @PUSARTRECV; 166
142my $KSTATUS; 167my @PUSARTRECV; # serial input (to terminal) queue
143 168
144sub out_00 { # pusartdata 169sub out_00 { # pusartdata
145 # handle xon/xoff, but also pass it through 170 # handle xon/xoff, but also pass it through
146 if ($_[0] == 0x13) { 171 if ($_[0] == 0x13) {
147 $XON = 0; 172 $XON = 0;
154 syswrite $PTY, chr $_[0]; 179 syswrite $PTY, chr $_[0];
155 180
156 $INTPEND |= 1; 181 $INTPEND |= 1;
157} 182}
158 183
159sub out_01 { 184sub out_01 { # pusartcmd
160 $PUSARTCMD = shift; 185 $PUSARTCMD = shift;
161 186
162 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy 187 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy
163 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason 188 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason
164} 189}
165 190
166sub out_02 { } # baudrate generator 191sub out_02 { } # baudrate generator
167 192
168sub out_23 { } # unknown 193sub out_23 { } # vt102 unknown
169sub out_27 { } # unknown 194sub out_27 { } # vt102 unknown
170sub out_2f { } # unknown, connected to in 0f 195sub out_2f { } # vt102 unknown, connected to in 0f
171 196
172sub out_42 { } # brightness 197sub out_42 { } # brightness
173 198
174sub out_62 { 199sub out_62 { # nvr latch register (4 bits)
175 $NVRLATCH = shift; 200 $NVRLATCH = shift;
176} 201}
177 202
178sub out_a2 { $DC11 = shift } 203sub out_a2 { # device control 011
204 my $dc11 = 0x0f & shift;
205
206 $DC11_REVERSE = 1 if $dc11 == 0b1010;
207 $DC11_REVERSE = 0 if $dc11 == 0b1011;
208}
209
179sub out_c2 { } # unknown 210sub out_c2 { } # unknown
180sub out_d2 { $DC12 = shift } 211sub out_d2 { } # device control 012, 0..3 == 80c/132c/60hz/50hz
181 212
182sub out_82 { 213sub out_82 { # keyboard txmit
183 # keyboard 214 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4 (vt100)
184
185 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INSERT L1(?) 215 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1 (vt102)
186 # CLICK STARTSCAN ONLINE LOCKED | LED1 LED2 LED3 LED4
187 $KSTATUS = $_[0]; 216 $KSTATUS = $_[0];
188 217
189 # start new scan unless scan in progress 218 # start new scan unless scan is in progress
190 if (($_[0] & 0x40) && !@KXMIT) { 219 if (($_[0] & 0x40) && !@KXMIT) {
191 # do not reply with keys in locked mode 220 # do not reply with keys in locked mode
192 # or during post (0xff), 221 # or during post (0xff),
193 # mostly to skip init and not fail POST, 222 # mostly to skip init and not fail POST,
194 # and to send startup keys only when terminal is ready 223 # and to send startup keys only when terminal is ready
214 $RST |= 1; 243 $RST |= 1;
215 } 244 }
216} 245}
217 246
218############################################################################# 247#############################################################################
248# I/O ports - input
219 249
220my $NVRBIT; 250my $NVRBIT; # the current nvr data bit
221my $LBA; 251my $LBA6; # twice the frequenxy of LBA7
222 252
223sub in_00 { # pusart data 253sub in_00 { # pusart data
224 # interrupt not generated here, because infinite 254 # interrupt not generated here, because infinite
225 # speed does not go well with the vt102. 255 # speed does not go well with the vt102.
226 256
230sub in_01 { # pusart status 260sub in_01 { # pusart status
231 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY 261 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY
232 0x85 + (@PUSARTRECV && 0x02) 262 0x85 + (@PUSARTRECV && 0x02)
233} 263}
234 264
235sub in_22 { # modem buffer(?) 265sub in_22 { # modem buffer
236 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 266 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
237 0x20 267 0x20
238} 268}
239 269
240sub in_0f { } # unknown, connected to out 2f 270sub in_0f { 0xff } # vt102 unknown, connected to out 2f
241 271
242sub in_42 { # flag buffer 272sub in_42 { # flag buffer
243 ++$LBA; 273 ++$LBA6;
244 274
245 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA & 0x3) == 0x2; 275 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA6 & 0x3) == 0x2;
246 276
247 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY 277 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY
248 278
249 my $f = 0x85 | $NVRBIT; 279 my $f = 0x85 | $NVRBIT;
250 280
251 $f |= 0x02 unless $AVO; 281 $f |= 0x02 unless $AVO;
252 $f |= 0x40 if $LBA & 0x2; 282 $f |= 0x40 if $LBA6 & 0x2;
253 283
254 $f 284 $f
255} 285}
256 286
257sub in_82 { # tbmt keyboard uart 287sub in_82 { # tbmt keyboard uart
259 289
260 $RST |= 1; 290 $RST |= 1;
261 shift @KXMIT 291 shift @KXMIT
262} 292}
263 293
264sub in_03 { 0xff } # unknown, printer uart input? 294sub in_03 { 0xff } # vt102 unknown, printer uart input?
265sub in_0b { 0xff } # unknown 295sub in_0b { 0xff } # vt102 unknown
266sub in_17 { 0xff } # unknown, printer status clear by reading? 296sub in_17 { 0xff } # vt102 unknown, printer status clear by reading?
267sub in_1b { 0xff } # unknown 297sub in_1b { 0xff } # vt102 unknown
268 298
269############################################################################# 299#############################################################################
300# 8085 cpu opcodes and flag handling
270 301
302my $x; # dummy scratchpad for opcodes
303
271sub sf { # set flags (ZSC - AP not implemented) 304sub sf { # set flags, full version (ZSC - AP not implemented)
305 $FS = $_[0] & 0x080;
306 $FZ = !($_[0] & 0x0ff);
307 $FC = $_[0] & 0x100;
308
309 $_[0] &= 0xff;
310}
311
312sub sf8 { # set flags, for 8-bit results (ZSC - AP not implemented)
313 $FS = $_[0] & 0x080;
314 $FZ = !($_[0] & 0x0ff);
315 $FC = 0;
316}
317
318sub sf_nc { # set flags, except carry
272 $FS = $_[0] & 0x080; 319 $FS = $_[0] & 0x080;
273 $FZ = ($_[0] & 0x0ff) == 0; 320 $FZ = ($_[0] & 0x0ff) == 0;
274 $FC = $_[0] & 0x100;
275 321
276 $_[0] & 0xff 322 $_[0] &= 0xff;
277} 323}
278 324
279sub sf_nc { # set flags except carry 325# opcode table
280 $FS = $_[0] & 0x080;
281 $FZ = ($_[0] & 0x0ff) == 0;
282
283 $_[0] & 0xff
284}
285
286my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0 .. 255; 326my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
287my @ops;
288 327
289my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 328my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); # r/m encoding
290my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 329my @cc = ('!$FZ', '$FZ', '!$FC', '$FC', 'die;', 'die;', '!$FS', '$FS'); # cc encoding. die == unimplemented $FP parity
330
331$op[0x00] = ''; # nop
291 332
292# mov r,r / r,M / M,r 333# mov r,r / r,M / M,r
293for my $s (0..7) { 334for my $s (0..7) {
294 for my $d (0..7) { 335 for my $d (0..7) {
295 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; 336 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
296 } 337 }
297} 338}
298 339
299$op[0x00] = ''; 340$op[0x76] = 'die "HLT"'; # hlt (mov m,m)
341
342# mvi r / M
343$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7;
300 344
301$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 345$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
302$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 346$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
303$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 347$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
304$op[0x31] = '$SP = IMM16' ; # lxi #d# 0xf000 because of limited stack 348$op[0x31] = '$SP = IMM16' ; # lxi
305 349
306$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax 350$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax
307$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax 351$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax
308$op[0x32] = '$M[IMM16 ] = $A'; # sta 352$op[0x32] = '$M[IMM16 ] = $A'; # sta
309 353
354$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b
355$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d
356$op[0x3a] = '$A = $M[IMM16]'; # lda
357
358$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld
359$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld
360
310sub inxdcx($$$) { 361sub inxdcx($$$) {
311 $x = ($_[0] * 256 + $_[1] + $_[2]) & 0xffff; 362 $x = $_[0] * 256 + $_[1] + $_[2];
312 $_[0] = $x >> 8; 363 ($_[0], $_[1]) = (($x >> 8) & 0xff, $x & 0xff);
313 $_[1] = $x & 0xff;
314} 364}
315 365
316$op[0x03] = 'inxdcx $B, $C, 1'; # inx 366$op[0x03] = 'inxdcx $B, $C, 1'; # inx
317$op[0x13] = 'inxdcx $D, $E, 1'; # inx 367$op[0x13] = 'inxdcx $D, $E, 1'; # inx
318$op[0x23] = 'inxdcx $H, $L, 1'; # inx 368$op[0x23] = 'inxdcx $H, $L, 1'; # inx
321$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx 371$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx
322$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx 372$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx
323$op[0x3b] = '--$SP' ; # dcx 373$op[0x3b] = '--$SP' ; # dcx
324 374
325# "no carry" doesn't seem to be needed for vt100 - optimize? 375# "no carry" doesn't seem to be needed for vt100 - optimize?
326$op[0x04 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] + 1" for 0..7; # inr
327$op[0x05 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] - 1" for 0..7; # dcr
328
329# mvi r / M
330$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7; 376$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
377$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
378
379$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
380$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
381$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
382$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
331 383
332# getting this insn wrong (its the only 16 bit insn to modify flags) 384# getting this insn wrong (its the only 16 bit insn to modify flags)
333# wasted three of my best days with mindless vt102 rom reverse engineering 385# wasted three of my best days with mindless vt102 rom reverse engineering
334sub dad { 386sub dad {
335 $x = $H * 256 + $L + $_[0]; 387 $x = $H * 256 + $L + $_[0];
341$op[0x09] = 'dad $B * 256 + $C'; # dad 393$op[0x09] = 'dad $B * 256 + $C'; # dad
342$op[0x19] = 'dad $D * 256 + $E'; # dad 394$op[0x19] = 'dad $D * 256 + $E'; # dad
343$op[0x29] = 'dad $H * 256 + $L'; # dad 395$op[0x29] = 'dad $H * 256 + $L'; # dad
344$op[0x39] = 'dad $SP '; # dad 396$op[0x39] = 'dad $SP '; # dad
345 397
346$op[0x07] = ' $FC = $A >> 7; $A = ($A * 2 + $FC) & 0xff '; # rlc 398$op[0x2f] = '$A ^= 0xff'; # cma
347$op[0x17] = ' ($FC, $A) = ($A >> 7, ($A * 2 + $FC) & 0xff)'; # ral
348 399
349$op[0x0f] = ' $FC = $A & 1; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 400$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
350$op[0x1f] = ' ($FC, $A) = ($A & 1, ($A >> 1) | ($FC && 0x80))'; # rar 401$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
402$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
403$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
404$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
405$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
406$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
407$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
408# possible todo: optimize ora a, maybe xra a, possibly ana
351 409
352$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b 410$op[0xc6] = 'sf $A += IMM8'; # adi
353$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d 411$op[0xd6] = 'sf $A -= IMM8'; # sui
354$op[0x3a] = '$A = $M[IMM16]'; # lda 412$op[0xe6] = 'sf8 $A &= IMM8'; # ani
413$op[0xee] = 'sf8 $A ^= IMM8'; # xri
414$op[0xf6] = 'sf8 $A |= IMM8'; # ori
415$op[0xfe] = 'sf $A - IMM8'; # cpi
416# ce ACI NYI, apparently unused
417# de SBI NYI, apparently unused
355 418
419$op[0xc5] = 'PUSH $B; PUSH $C';
420$op[0xd5] = 'PUSH $D; PUSH $E';
421$op[0xe5] = 'PUSH $H; PUSH $L';
422$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
423
424$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
425$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
426$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
427$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
428
429$op[0xc2 + $_ * 8] = 'BRA IMM16 if ' . $cc[$_] for 0..7; # jcc
430$op[0xc3] = 'JMP IMM16'; # jmp
431
432$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) if ' . $cc[$_] for 0..7; # ccc
433$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
434
435$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 if ' . $cc[$_] for 0..7; # rcc
436$op[0xc9] = 'JMP POP + POP * 256'; # ret
437
438$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
439
440$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
441# f9 SPHL NYI, apparently unused
442
443$op[0x37] = '$FC = 1 '; # stc
444$op[0x3f] = '$FC = !$FC'; # cmc
445
446$op[0xd3] = 'OUT'; # out
447$op[0xdb] = 'IN'; # in
448
449$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
450
451# e3 xthl NYI # @ 917b in e69, hl <-> (sp)
452
356$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 453$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (8085, incomplete)
357$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 454$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (8085, incomplete)
358 455
359$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld 456$op[0xf3] = '$IFF = 0'; # di
360$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld 457$op[0xfb] = '$IFF = 1'; # ei
361 458
362# yeah, the fucking setup screens actually use daa... 459# yeah, the fucking setup screen actually uses daa...
363$op[0x27] = ' 460$op[0x27] = '
364 my ($h, $l); 461 my ($h, $l);
365 462
366 ($h, $l) = ($A >> 4, $A & 15); 463 ($h, $l) = ($A >> 4, $A & 15);
367 464
368 if ($l > 9 || $FA) { 465 if ($l > 9 || $FA) {
369 $A = sf $A + 6; 466 sf $A += 6;
370 ($h, $l) = ($A >> 4, $A & 15); 467 ($h, $l) = ($A >> 4, $A & 15);
371 } 468 }
372 469
373 if ($h > 9 || $FC) { 470 if ($h > 9 || $FC) {
374 $h += 6; 471 $h += 6;
375 $A = ($h * 16 + $l) & 0xff; 472 $A = ($h * 16 + $l) & 0xff;
376 } 473 }
377'; # daa, almost certainly borked, also, acarry not set by sf 474'; # daa, almost certainly borked, also, acarry not set by sf
378 475
379$op[0x2f] = '$A ^= 0xff'; # cma
380
381$op[0x37] = '$FC = 1 '; # stc
382$op[0x3f] = '$FC = !$FC'; # cmc
383
384$op[0x76] = 'die "HLT"'; # hlt
385
386$op[0x80 + $_] = '$A = sf $A + ' . $reg[$_] for 0..7; # add
387$op[0x88 + $_] = '$A = sf $A + $FC + ' . $reg[$_] for 0..7; # adc
388$op[0x90 + $_] = '$A = sf $A - ' . $reg[$_] for 0..7; # sub
389$op[0x98 + $_] = '$A = sf $A - $FC - ' . $reg[$_] for 0..7; # sbb
390$op[0xa0 + $_] = '$A = sf $A & ' . $reg[$_] for 0..7; # ana
391$op[0xa8 + $_] = '$A = sf $A ^ ' . $reg[$_] for 0..7; # xra
392$op[0xb0 + $_] = '$A = sf $A | ' . $reg[$_] for 0..7; # ora
393$op[0xb8 + $_] = ' sf $A - ' . $reg[$_] for 0..7; # cmp
394# possible todo: optimize ora a, maybe xra a
395
396$op[0xc6 + $_] = '$A = sf $A + IMM8'; # adi
397$op[0xd6 + $_] = '$A = sf $A - IMM8'; # sui
398$op[0xe6 + $_] = '$A = sf $A & IMM8'; # ani
399$op[0xee + $_] = '$A = sf $A ^ IMM8'; # xri
400$op[0xf6 + $_] = '$A = sf $A | IMM8'; # ori
401$op[0xfe + $_] = ' sf $A - IMM8'; # cpi
402
403$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
404$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
405$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
406$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = (!!($x & 0x80), !!($x & 0x40), !!($x & 0x10), !!($x & 0x04), !!($x & 0x01))'; # pop psw
407
408$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
409
410$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
411$op[0xc3] = 'JMP IMM16'; # jmp
412
413$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
414$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
415
416$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
417
418$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
419$op[0xc9] = 'JMP POP + POP * 256'; # ret
420
421$op[0xc5] = 'PUSH $B; PUSH $C';
422$op[0xd5] = 'PUSH $D; PUSH $E';
423$op[0xe5] = 'PUSH $H; PUSH $L';
424$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
425
426$op[0xd3] = 'OUT'; # out
427$op[0xdb] = 'IN'; # in
428
429# e3 xthl @ 917b, hl <-> (sp)
430
431$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
432
433$op[0xf3] = '$IFF = 0'; # DI
434$op[0xfb] = '$IFF = 1'; # EI
435
436@ops = @op; # for debugging #d#
437
438############################################################################# 476#############################################################################
477# debug
439 478
440# print cpu status, for debugging 479# print cpu status, for debugging
441sub status { 480sub status {
442 my $PC = shift || $PC; 481 my $PC = shift || $PC;
443 482
447 ($FZ ? "1" : "0") 486 ($FZ ? "1" : "0")
448 . ($FS ? "1" : "0") 487 . ($FS ? "1" : "0")
449 . ($FC ? "1" : "0") 488 . ($FC ? "1" : "0")
450 . ($FA ? "1" : "0") 489 . ($FA ? "1" : "0")
451 . ($FP ? "1" : "0"), 490 . ($FP ? "1" : "0"),
452 $M[$PC], $ops[$M[$PC]]; 491 $M[$PC], $op[$M[$PC]];
453} 492}
454 493
455############################################################################# 494#############################################################################
495# video emulation
456 496
457my @chr = ( 497binmode STDOUT;
458 " ", 498
459 "\x{29eb}", 499my @CHARMAP = ( # acschars / chars 0..31
460 "\x{2592}", 500 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
461 "\x{2409}", 501 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
462 "\x{240c}", 502 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
463 "\x{240d}", 503 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
464 "\x{240a}", 504 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
465 "\x{00b0}", 505 "\x{23bd}", "\x{251c}", "\x{2524}", "\x{2534}",
466 "\x{00b1}", 506 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}",
467 "\x{2424}", 507 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}",
468 "\x{240b}",
469 "\x{2518}",
470 "\x{2510}",
471 "\x{250c}",
472 "\x{2514}",
473 "\x{253c}",
474 "\x{23ba}",
475 "\x{23bb}",
476 "\x{2500}",
477 "\x{23bc}",
478 "\x{23bd}",
479 "\x{251c}",
480 "\x{2524}",
481 "\x{2534}",
482 "\x{252c}",
483 "\x{2502}",
484 "\x{2264}",
485 "\x{2265}",
486 "\x{03c0}",
487 "\x{2260}",
488 "\x{00a3}",
489 "\x{00b7}",
490 (map chr, 0x020 .. 0x7e), 508 (map chr, 0x020 .. 0x7e),
491 "?",
492); 509);
493 510
494utf8::encode $_ for @chr; 511utf8::encode $_ for @CHARMAP;
495 512
496sub prscr { 513my @SGR; # sgr sequences for attributes
514
515for (0x00 .. 0xff) {
516 my $sgr = "";
517
518 # ~1 sgr 5 blink
519 # ~2 sgr 4 underline
520 # ~4 sgr 1 bold
521 # 0x80 in attr, sgr 7, reversed
522
523 $sgr .= ";5" unless $_ & 0x01;
524 $sgr .= ";4" unless $_ & 0x02;
525 $sgr .= ";1" unless $_ & 0x04;
526 $sgr .= ";7" if $_ & 0x80;
527
528 $SGR[$_] = "\e[${sgr}m";
529}
530
531my @LED = $VT102
532 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
533 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
534
535my $CURSOR_IS_ON;
536
537# display screen
538sub display {
539 # this is for the powersave mode - check whether the cursor is on here,
540 # and only allow powersave later when it was on the last display time
541 $CURSOR_IS_ON = $M[$VT102 ? 0x207b : 0x21ba];
542
543 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
544
545 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
546
547 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l");
548
497 my $i = 0x2000; 549 my $i = 0x2000;
498 550
499 my $scr = sprintf "\x1b[H--- KBD %08b CLK %d PC %04x RST %03b IFF %01b PUS %02x IM %03b\x1b[K\n", $KSTATUS, $CLK, $PC, $RST, $IFF, $PUSARTCMD, $INTMASK;
500
501 line: 551 line:
502 for my $y (0 .. 25) { 552 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines
553 my $prev_attr;
554 my ($c, $attr); # declare here for speedup
555
503 $scr .= sprintf "%2d |", ++$y; 556 $scr .= sprintf "%2d \xe2\x94\x82", $y;
504 557
505 for (0..140) { 558 for (0..139) {
506 my $c = $M[$i++]; 559 $c = $M[$i];
507
508# printf "%04x %02x\n", $i-1,$c;
509 560
510 if ($c == 0x7f) { # also 0xff, but the firmware avoids that 561 if ($c == 0x7f) { # also 0xff, but the firmware avoids that
511 $scr .= "|\x1b[K\n"; 562 $scr .= "\e[m\xe2\x94\x82\e[K\n";
512 563
513 my $a1 = $M[$i++]; 564 my $a1 = $M[$i + 1];
514 my $a0 = $M[$i++]; 565 my $a0 = $M[$i + 2];
515 566
516 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff); 567 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff);
517 568
518 next line; 569 next line;
519 } 570 }
520 571
521 $scr .= "\x1b[7m" if $c & 0x80; 572 $scr .= $SGR[$prev_attr = $attr]
522 $scr .= $chr[$c & 0x7f] // sprintf "[%02x]", $c & 0x7f; 573 if $prev_attr != ($attr = ($M[$i++ + 0x1000] & 15) | ($c & 0x80));
523 $scr .= "\x1b[m" if $c & 0x80; 574
575 $scr .= $CHARMAP[$c & 0x7f];
524 } 576 }
525 577
526 $scr .= "\x1b[K\noverflow\x1b[K\n"; 578 $scr .= "\e[K\nvideo overflow\e[K\n";
527 last; 579 last;
528 } 580 }
529 581
530 if (0) {
531 $scr .= "\x1b[K\n";
532 for my $o (0x200 .. 0x232) {
533 $scr .= sprintf "%04x:", $o * 16;
534 for (0..15) {
535 $scr .= sprintf " %02x", $M[$o * 16 + $_];
536 }
537 $scr .= "\x1b[K\n";
538 }
539 }
540
541 $scr .= "\x1b[J"; 582 $scr .= "\e[m\e[J";
542 583
543 syswrite STDOUT, $scr; 584 syswrite STDOUT, $scr;
544} 585}
545 586
546############################################################################# 587#############################################################################
588# keyboard handling
547 589
548if (@ARGV) { 590# 0x080 shift, 0x100 ctrl
549 require IO::Pty; 591my %KEYMAP = (
550 $PTY = IO::Pty->new; 592 "\t" => 0x3a,
593 "\r" => 0x64,
594 "\n" => 0x44,
595
596 "\x00" => 0x77 | 0x100, # CTRL-SPACE
597 "\x1c" => 0x45 | 0x100, # CTRL-\
598 "\x1d" => 0x14 | 0x100, # CTRL-]
599 "\x1e" => 0x24 | 0x100, # CTRL-~
600 "\x1f" => 0x75 | 0x100, # CTRL-?
601
602 # hardcoded rxvt keys
603 "\e" => 0x2a, # ESC
604 "\e[2~" => 0x79 | 0x100, # CTRL-C (insert)
605 "\e[3~" => 0x03, # DC
606 "\e[5~" => 0x7e, # CAPS LOCK (prior)
607 "\e[6~" => 0x6a, # NO SCROLL (next)
608 "\e[A" => 0x30, # UP
609 "\e[B" => 0x22, # DOWN
610 "\e[C" => 0x10, # RIGHT
611 "\e[D" => 0x20, # LEFT
612 "\e[a" => 0x30 | 0x080, # UP
613 "\e[b" => 0x22 | 0x080, # DOWN
614 "\e[c" => 0x10 | 0x080, # RIGHT
615 "\e[d" => 0x20 | 0x080, # LEFT
616 "\e[7~" => 0x7b, # SETUP (home)
617 "\e[8~" => 0x23, # BREAK (end)
618 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
619 "\x7f" => 0x33, # BACKSPACE
620
621 "\e[11~" => 0x32, # PF1
622 "\e[12~" => 0x42, # PF2
623 "\e[13~" => 0x31, # PF3
624 "\e[14~" => 0x41, # PF4
625);
551 626
552 my $slave = $PTY->slave; 627@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
628 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
629 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
553 630
554 $PTY->set_winsize (24, 80); 631$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl
632$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
555 633
556 unless (fork) { 634my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
557 $ENV{TERM} = $VT102 ? "vt102" : "vt100"; 635$KEYMATCH = qr{^($KEYMATCH)}s;
558 636
559 close $PTY; 637my %KMOD; # currently pressed modifier keys
560 638
561 open STDIN , "<&", $slave; 639sub key {
562 open STDOUT, ">&", $slave; 640 my ($key) = @_;
563 open STDERR, ">&", $slave;
564 641
565 system "stty ixoff erase ^H"; 642 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
643 push @KQUEUE, -0x7d if !($key & 0x080) && delete $KMOD{0x7d}; # shift-up
566 644
567 $PTY->make_slave_controlling_terminal; 645 push @KQUEUE, 0x7c if $key & 0x100 && !$KMOD{0x7c}++; # ctrl-down
568 $PTY->close_slave; 646 push @KQUEUE, 0x7d if $key & 0x080 && !$KMOD{0x7d}++; # shift-down
569 647
570 exec @ARGV; 648 $key &= 0x7f;
571 } 649 push @KQUEUE, $key, -$key;
572
573 $PTY->close_slave;
574
575} else {
576 open $PTY, "</dev/null" or die;#d
577} 650}
578 651
579############################################################################# 652my $STDIN_BUF;
580 653
654sub stdin_parse {
655 key $KEYMAP{$1}
656 while $STDIN_BUF =~ s/$KEYMATCH//;
657
658 # skip input we can't decipher
659 substr $STDIN_BUF, 0, 1, "";
660}
661
662if ($KBD) {
663 system "stty -icanon -icrnl -inlcr -echo min 1 time 0"; # -isig
664 eval q{ sub END { system "stty sane" } };
665 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
666}
667
668#############################################################################
581# initial key input, to set up online mode etc. 669# initial key input, to set up online mode etc.
670# could be done via nvram defaults
671
582@KQUEUE = ( 672@KQUEUE = (
583 0x7b, -0x7b, # setup 673 0x7b, -0x7b, # setup
584 0, # delay 674 0, # delay
585 0x28, -0x28, # 4, toggle local/online 675 0x28, -0x28, # 4, toggle local/online
586 0x38, -0x38, # 5, setup b 676 0x38, -0x38, # 5, setup b
597 0x37, -0x37, # 6 toggle wrap around 687 0x37, -0x37, # 6 toggle wrap around
598 0x7b, -0x7b, # leave setup 688 0x7b, -0x7b, # leave setup
599); 689);
600 690
601############################################################################# 691#############################################################################
692# process/pty management
602 693
603# 0x80 shift, 0x100 ctrl, 0x200 toggle 694if (1) {
604my %KEYMAP = ( 695 require IO::Pty;
605 "\t" => 0x3a, 696 $PTY = IO::Pty->new;
606 "\r" => 0x64,
607 "\n" => 0x44,
608 697
609 # hardcoded rxvt keys 698 my $slave = $PTY->slave;
610 "\e" => 0x2a, # ESC
611 "\e[3~" => 0x03, # DC
612 "\e[5~" => 0x7e, # CAPS LOCK (prior)
613 "\e[6~" => 0x6a, # NO SCROLL (next)
614 "\e[A" => 0x30, # UP
615 "\e[B" => 0x22, # DOWN
616 "\e[C" => 0x10, # RIGHT
617 "\e[D" => 0x20, # LEFT
618 "\e[a" => 0x30 | 0x080, # UP
619 "\e[b" => 0x22 | 0x080, # DOWN
620 "\e[c" => 0x10 | 0x080, # RIGHT
621 "\e[d" => 0x20 | 0x080, # LEFT
622 "\e[7~" => 0x7b, # SETUP (home)
623 "\e[8~" => 0x23, # BREAK (end)
624 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
625 "\x7f" => 0x33, # BACKSPACE
626 699
627 "\e[11~" => 0x32, # F1 700 $PTY->set_winsize (24, 80);
628 "\e[11~" => 0x42, # F2
629 "\e[11~" => 0x31, # F3
630 "\e[11~" => 0x41, # F4
631);
632 701
633@KEYMAP{map chr, 0x20..0x40} = unpack "C*", pack "H*", 702 unless (fork) {
634 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9"; 703 $ENV{LC_ALL} = "C";
704 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
635 705
636@KEYMAP{map chr, 0x5b .. 0x7e} = unpack "C*", pack "H*", 706 close $PTY;
637 "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4";
638 707
639$KEYMAP{"\x3f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl 708 open STDIN , "<&", $slave;
640$KEYMAP{uc $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift 709 open STDOUT, ">&", $slave;
710 open STDERR, ">&", $slave;
641 711
642my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 712 system "stty ixoff erase ^H";
643$KEYMATCH = qr{^($KEYMATCH)}s;
644 713
645sub key { 714 $PTY->make_slave_controlling_terminal;
646 my ($key) = @_; 715 $PTY->close_slave;
647 716
648 state %MOD; 717 @ARGV = "sh" unless @ARGV;
718 exec @ARGV;
719 }
649 720
650 push @KQUEUE, -0x7c if !($key & 0x100) && delete $MOD{0x7c}; # ctrl-up 721 $PTY->close_slave;
651 push @KQUEUE, -0x7d if !($key & 0x080) && delete $MOD{0x7d}; # shift-up 722} else {
652 723 open $PTY, "+</dev/null"
653 push @KQUEUE, 0x7c if $key & 0x100 && !$MOD{0x7c}++; # ctrl-down 724 or die "/dev/null: $!";
654 push @KQUEUE, 0x7d if $key & 0x080 && !$MOD{0x7d}++; # shift-down
655
656 $key &= 0x7f;
657 push @KQUEUE, $key, -$key;
658} 725}
659 726
660my $STDIN_BUF;
661
662sub stdin_parse {
663 key $KEYMAP{$1}
664 while $STDIN_BUF =~ s/$KEYMATCH//;
665
666 # skip input we can't decipher
667 substr $STDIN_BUF, 0, 1, "";
668}
669
670if ($KBD) {
671 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
672 eval q{ sub END { system "stty sane" } };
673 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
674}
675
676############################################################################# 727#############################################################################
728# the actual hardware simulator
677 729
678my @ICACHE; # compiled instruction cache 730my @ICACHE; # compiled instruction/basic block cache
679 731
732my $POWERSAVE; # powersave counter
733
734my $RIN; # libev for the less well-off
735
736(vec $RIN, 0, 1) = 1 if $KBD;
737(vec $RIN, fileno $PTY, 1) = 1 if $PTY;
738
680# the cpu 739# the cpu.
681while () { 740while () {
682
683 # execute extended basic blocks 741 # execute an extended basic block
684 $PC = ($ICACHE[$PC] ||= do { 742 $PC = ($ICACHE[$PC] ||= do {
685 my $pc = $PC; 743 my $pc = $PC;
686 744
687 my $insn = ""; 745 my $insn = "";
688 746
689 # the jit compiler 747 # the jit compiler
690 for (0..15) { 748 for (0..31) {
691
692 # optional tracing support
693 if (0) {
694 $insn .= qq<
695 if (\$PRSTATUS) {
696 status $pc;
697 die unless --\$PRSTATUS;
698 }
699 >;
700 }
701
702 my $imm; 749 my $imm;
703 my $op = $op[$M[$pc++]]; 750 my $op = $op[$M[$pc++]];
704 751
705 for ($op) { 752 for ($op) {
706 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack 753 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack
711 758
712 s/\bPC\b/$pc/ge; # PC at end of insn 759 s/\bPC\b/$pc/ge; # PC at end of insn
713 s/\bBRA\b/return/g; # conditional jump 760 s/\bBRA\b/return/g; # conditional jump
714 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump 761 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump
715 762
716 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; 763 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; # in insns call in_HEX
717 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; 764 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; # out likewise
718 } 765 }
719 766
720 $insn .= "$op;\n"; 767 $insn .= "$op;\n";
721 } 768 }
722 769
723
724 $insn .= "$pc"; 770 $insn .= $pc;
725 $insn =~ s/\x00.*$//s; 771 $insn =~ s/\x00.*$//s;
726 772
727 eval "use integer; sub { $insn }" or die "$insn: $@" 773 eval "sub { $insn }" or die "$insn: $@"
728 })->(); 774 })->();
729 775
730 ++$CLK; 776 ++$CLK;
731 777
732 #TODO: just check on ret instructions or so 778 # things we do from time to time only
733 # the interrupt logic 779 unless ($CLK & 0xf) {
734 $x = $INTPEND & ~$INTMASK; 780 # do I/O
735 781
736 if (($RST || $x) && $IFF) { 782 unless ($CLK & 0xfff) {
783 if (select $x = $RIN, undef, undef, $POWERSAVE < 10 ? 0 : $CURSOR_IS_ON && 3600) {
784
785 # pty/serial I/O
786 if ($PTY && (vec $x, fileno $PTY, 1) && (@PUSARTRECV < 128) && !@KQUEUE) {
787 sysread $PTY, my $buf, 256;
788
789 # linux don't do cs7 and/or parity anymore, so we need to filter
790 # out xoff characters to avoid freezes.
791 push @PUSARTRECV, grep { ($_ & 0x7f) != 0x13 } unpack "C*", $buf;
792 }
793
794 # keyboard input
795 if ($KBD && (vec $x, 0, 1)) {
796 # to avoid non-blocking mode on stdin (and stty min 0), we
797 # just read byte-by-byte after a select says there is data.
798 while (select my $rin = "\x01", undef, undef, 0) {
799 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF
800 or last;
801 }
802
803 stdin_parse if length $STDIN_BUF;
804 }
805
806 $POWERSAVE = 0; # activity
807 } elsif (@PUSARTRECV || @KQUEUE) {
808 $POWERSAVE = 0;
809 } else {
810 ++$POWERSAVE;
811 }
812 }
813
814 # kick off serial input interrupt quite often
815 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though)
816 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy
817
818 # kick off vertical retrace interrupt from time to time
819 unless ($CLK & 0x1ff) {
820 $RST |= 4; # vertical retrace
821 }
822
823 # handle video hardware
824 unless ($CLK & 0x3fff) {
825 display;
826 }
827 }
828
829 # the interrupt logic - we only interrupt after basic blocks
830 # which, as a side effect, ensures that we don't interrupt
831 # "ei; ret" sequences and thus reduce the risk of stack overflows.
832 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
737 # rst 1 kbd data available 833 # rst 1 kbd data available
738 # rst 2 pusart xmit+recv flag 834 # rst 2 pusart xmit+recv flag
739 # rst 4 vertical retrace 835 # rst 4 vertical retrace
740 # 5.5 vt125 mb7 trans ready (serial send?) 836 # 5.5 vt125 mb7 trans ready (serial send?)
741 # 6.5 vt125 mb7 read ready (something modem?) 837 # 6.5 vt125 mb7 read ready (something modem?)
742 # 7.5 vt125 mb7 vblank h(?) 838 # 7.5 vt125 mb7 vblank h(?)
743 # trap vt125 mbi init h(?) 839 # trap vt125 mbi init h(?)
744 my $vec; 840 my $vec;
745 841
842 my $pend = $INTPEND & ~$INTMASK;
843
746 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 844 if ($pend & 1) { $vec = 0x2c; $INTPEND &= ~1;
747 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 845 } elsif ($pend & 2) { $vec = 0x34; $INTPEND &= ~2;
748 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 846 } elsif ($pend & 4) { $vec = 0x3c; $INTPEND &= ~4;
749# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # for some reason, this breaks vt102 847# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
750 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 848 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
751 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 849 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
752 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 850 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
753 } else { 851 } else {
754 die; 852 die;
755 } 853 }
756 854
855 # jump to the interrupt vector
757 $M[--$SP] = $PC >> 8; 856 $M[--$SP] = $PC >> 8;
758 $M[--$SP] = $PC & 0xff; 857 $M[--$SP] = $PC & 0xff;
759 $PC = $vec; 858 $PC = $vec;
760 859
761 $IFF = 0; 860 $IFF = 0;
762 } 861 }
763
764 # things we do from time too time only
765 unless ($CLK & 0xf) {
766 # do I/O
767
768 unless ($CLK & 0x7ff) {
769
770 # pty/serial I/O
771 unless (@PUSARTRECV || @KQUEUE || !$PTY) {
772 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
773
774 if (select $rin, undef, undef, 0) {
775 sysread $PTY, my $buf, 256;
776 push @PUSARTRECV, unpack "C*", $buf;
777 }
778 }
779
780 # keyboard input
781 if ($KBD) {
782 while (select my $rin = "\x01", undef, undef, 0) {
783 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF
784 or last;
785 }
786
787 stdin_parse if length $STDIN_BUF;
788 }
789 }
790
791 # kick off various interrupts
792
793 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though)
794 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy
795
796 # kick off vertical retrace form time to time
797 unless ($CLK & 0x3ff) {
798 $RST |= 4; # vertical retrace
799 }
800
801 # handle video hardware
802
803 unless ($CLK & 0x1fff) {
804 prscr;
805 }
806 }
807} 862}
863
864#############################################################################
865# roms in the data section + one newline
866#
867# vt100 @ 0x0000+0x0800 23-032E2
868# vt100 @ 0x0800+0x0800 23-061E2
869# vt100 @ 0x1000+0x0800 23-033E2
870# vt100 @ 0x1800+0x0800 23-034E2
871#
872# vt102 @ 0x0000+0x2000 23-226E4
873# vt102 @ 0x8000+0x2000 23-225E4
874#
875# vt131 @ 0xa000+0x0800 23-280E2
876#
808 877
809__DATA__ 878__DATA__
8101N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6 8791N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6
8112!0* w4ʘ> 2! ~î!N ̓/2!! "R !""  8802!0* w4ʘ> 2! ~î!N ̓/2!! "R !"" 
812! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u> 881! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u>
916 985
917.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ 986.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ
918\lkgfa';jhds .,nbx* :B!4 987\lkgfa';jhds .,nbx* :B!4
919!O!,ͳʄmÝ 988!O!,ͳʄmÝ
920! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N  989! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N 
9218>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 9908>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv^y5k6!
991"~ACŠ>Bw2"!z"pv"x">Cw2"!n"pv"l"!!~< N[>2,!NAp##!(0S{}ˠ>w# »xE##ö6T]#zpw#sX6#N|p}Hpv"x"7:
992"C*C!(0:!@W ==}wï2C!2D!z5*b Q!{!| gH:d G| gW]>6#k<|eoozW>Ê

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines