ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.5 by root, Mon Dec 1 18:41:36 2014 UTC vs.
Revision 1.17 by root, Wed Dec 3 02:16:30 2014 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20# this hack is not considered release ready in and way, shape, or form 20use strict;
21# ./vt102 bash
22# ./vt102 telnet towel.blinkenlights.nl
23# ./vt102 curl http://artscene.textfiles.com/vt100/trekvid.vt
24# ./vt102 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
25
26# TODO: ctrl
27
28use common::sense; 21#use common::sense;
29
30$| = 1;
31 22
32my $VT102 = 1; 23my $VT102 = 1;
33my $AVO = $VT102 || 1; 24my $VT131 = 0;
25my $AVO = 1;
26
27shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
28shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
29shift if $ARGV[0] =~ /^-?-vt102$/;
30shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
31
32if ($ARGV[0] =~ /^-/) {
33 die <<EOF;
34
35VT102, A VT100/101/102/131 SIMULATOR
36
37Usage:
38
39 $0 [option] [program [args]]
40
41Examples:
42
43 $0 bash
44 $0 telnet towel.blinkenlights.nl
45 $0 curl http://artscene.textfiles.com/vt100/trekvid.vt
46 $0 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
47
48Option can be one of:
49
50 --vt100
51 --vt100+avo
52 --vt102
53 --vt131
54
55Non-obvious special keys are:
56
57 SET UP Home
58 BACKSPACE Rubout
59 CAPS LOCK Prior/PgUp
60 NO SCROLL Next/PgDown
61 BREAK End
62
63Set-Up Guide:
64
65 http://vt100.net/docs/vt102-ug/chapter3.html#S3.6
66
67Author:
68
69 Marc Lehmann <vt102\@schmorp.de>
70
71EOF
72}
73
74#############################################################################
75# ROM/hardware init
76
77my $PTY; # the pty we allocated, if any
34my $KBD = 1; 78my $KBD = 1;
35 79
36#############################################################################
37# rom initialising
38
39my $ROM = do { 80my $ROMS = do {
40 binmode DATA; 81 binmode DATA;
41 local $/; 82 local $/;
42 <DATA> 83 <DATA>
43}; 84};
44 85
450x6001 == length $ROM or die "corrupted rom image"; 860x6801 == length $ROMS or die "corrupted rom image";
46
47binmode STDOUT;
48 87
49my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 88my @M = (0xff) x 65536; # main memory, = (0xff) x 65536;
50 89
51# populate mem with rom contents 90# populate mem with rom contents
52if ($VT102) { 91if ($VT102) {
53 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x2000, 0x2000; 92 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x2000, 0x2000;
54 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROM, 0x4000, 0x2000; 93 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROMS, 0x4000, 0x2000;
94 @M[0xa000 .. 0xa7ff] = unpack "C*", substr $ROMS, 0x6000, 0x0800 if $VT131;
55} else { 95} else {
56 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x0000, 0x2000; 96 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x0000, 0x2000;
57} 97}
58 98
59############################################################################# 99#############################################################################
60# cpu registers and I/O support 100# 8085 CPU registers and I/O support
61
62my $PTY; # the pty we allocated, if any
63my $PRSTATUS = 0;
64 101
65# 8080/8085 registers 102# 8080/8085 registers
66# b, c, d, e, h, l, a
67my ($A, $B, $C, $D, $E, $H, $L, $A); 103my ($A, $B, $C, $D, $E, $H, $L);
68my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 104my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC);
69 105
70my $RST = 0; # 8080 pending interrupts 106my $RST = 0; # 8080 pending interrupts
71my $INTMASK = 7; # 8085 half interrupts 107my $INTMASK = 7; # 8085 half interrupts
72my $INTPEND = 0; # 8085 half interrupts 108my $INTPEND = 0; # 8085 half interrupts
73 109
74my $x; # dummy temp for instructions
75
76my $CLK; # rather inexact clock 110my $CLK; # rather inexact clock
77 111
78############################################################################# 112#############################################################################
79# the dreaded nvr1400 chip. not needed to get it going, but provided for reference 113# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
80 114
81# nvram 115# nvram
82my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes 116my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes
83my $NVRADDR; 117my $NVRADDR;
84my $NVRDATA; 118my $NVRDATA;
93 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase 127 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
94 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read 128 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
95 sub { }, # 7 standby 129 sub { }, # 7 standby
96); 130);
97 131
98my @bitidx; 132my @NVR_BITIDX;
99$bitidx[1 << $_] = 9 - $_ for 0..9; 133$NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
100 134
101# the nvr1400 state machine. what a monster 135# the nvr1400 state machine. what a monster
102sub nvr() { 136sub nvr() {
103 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 137 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
104 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 138 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
105 139
106# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA; 140# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
107 141
108 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 142 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
109} 143}
110 144
111############################################################################# 145#############################################################################
146# I/O ports - output
112 147
113my $DC11 = 0; # 4 bit commands 148my $DC11_REVERSE = 0;
114my $DC12 = 0;
115 149
116my $XON = 1; # false if terminal wants us to pause 150my $XON = 1; # false if terminal wants us to pause
117my $PUSARTCMD; 151my $PUSARTCMD;
118 152
119my @KXMIT; # current scan queue 153my @KXMIT; # current scan queue
155 189
156sub out_62 { 190sub out_62 {
157 $NVRLATCH = shift; 191 $NVRLATCH = shift;
158} 192}
159 193
160sub out_a2 { $DC11 = shift } 194sub out_a2 {
195 my $dc11 = 0x0f & shift;
196
197 $DC11_REVERSE = 1 if $dc11 == 0b1010;
198 $DC11_REVERSE = 0 if $dc11 == 0b1011;
199}
200
161sub out_c2 { } # unknown 201sub out_c2 { } # unknown
162sub out_d2 { $DC12 = shift } 202sub out_d2 { } # 0..3 == 80c/132c/60hz/50hz
163 203
164sub out_82 { 204sub out_82 {
165 # keyboard 205 # keyboard
166 206
167 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INSERT L1(?) 207 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1
168 # CLICK STARTSCAN ONLINE LOCKED | LED1 LED2 LED3 LED4 208 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4
169 $KSTATUS = $_[0]; 209 $KSTATUS = $_[0];
170 210
171 # start new scan unless scan in progress 211 # start new scan unless scan in progress
172 if (($_[0] & 0x40) && !@KXMIT) { 212 if (($_[0] & 0x40) && !@KXMIT) {
173 # do not reply with keys in locked mode 213 # do not reply with keys in locked mode
196 $RST |= 1; 236 $RST |= 1;
197 } 237 }
198} 238}
199 239
200############################################################################# 240#############################################################################
241# I/O ports - input
201 242
202my $NVRBIT; 243my $NVRBIT;
203my $LBA; 244my $LBA6; # twice the frequenxy of LBA7
204 245
205sub in_00 { # pusart data 246sub in_00 { # pusart data
206 # interrupt not generated here, because infinite 247 # interrupt not generated here, because infinite
207 # speed does not go well with the vt102. 248 # speed does not go well with the vt102.
208 249
217sub in_22 { # modem buffer(?) 258sub in_22 { # modem buffer(?)
218 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 259 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
219 0x20 260 0x20
220} 261}
221 262
222sub in_0f { } # unknown, connected to out 2f 263sub in_0f { 0xff } # vt102 unknown, connected to out 2f
223 264
224sub in_42 { # flag buffer 265sub in_42 { # flag buffer
225 ++$LBA; 266 ++$LBA6;
226 267
227 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA & 0x3) == 0x2; 268 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA6 & 0x3) == 0x2;
228 269
229 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY 270 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY
230 271
231 my $f = 0x85 | $NVRBIT; 272 my $f = 0x85 | $NVRBIT;
232 273
233 $f |= 0x02 unless $AVO; 274 $f |= 0x02 unless $AVO;
234 $f |= 0x40 if $LBA & 0x2; 275 $f |= 0x40 if $LBA6 & 0x2;
235 276
236 $f 277 $f
237} 278}
238 279
239sub in_82 { # tbmt keyboard uart 280sub in_82 { # tbmt keyboard uart
241 282
242 $RST |= 1; 283 $RST |= 1;
243 shift @KXMIT 284 shift @KXMIT
244} 285}
245 286
246sub in_03 { 0xff } # unknown, printer uart input? 287sub in_03 { 0xff } # vt102 unknown, printer uart input?
247sub in_0b { 0xff } # unknown 288sub in_0b { 0xff } # vt102 unknown
248sub in_17 { 0xff } # unknown, printer status clear by reading? 289sub in_17 { 0xff } # vt102 unknown, printer status clear by reading?
249sub in_1b { 0xff } # unknown 290sub in_1b { 0xff } # vt102 unknown
250 291
251############################################################################# 292#############################################################################
293# 8085 cpu opcodes and flag handling
294
295my $x; # dummy scratchpad for opcodes
252 296
253sub sf { # set flags (ZSC - AP not implemented) 297sub sf { # set flags (ZSC - AP not implemented)
254 $FS = $_[0] & 0x080; 298 $FS = $_[0] & 0x080;
255 $FZ = ($_[0] & 0x0ff) == 0; 299 $FZ = !($_[0] & 0x0ff);
256 $FC = $_[0] & 0x100; 300 $FC = $_[0] & 0x100;
257 301
258 $_[0] & 0xff 302 $_[0] &= 0xff;
303}
304
305sub sf8 { # set flags (ZSC - AP not implemented)
306 $FS = $_[0] & 0x080;
307 $FZ = !($_[0] & 0x0ff);
308 $FC = 0;
259} 309}
260 310
261sub sf_nc { # set flags except carry 311sub sf_nc { # set flags except carry
262 $FS = $_[0] & 0x080; 312 $FS = $_[0] & 0x080;
263 $FZ = ($_[0] & 0x0ff) == 0; 313 $FZ = ($_[0] & 0x0ff) == 0;
264 314
265 $_[0] & 0xff 315 $_[0] &= 0xff;
266} 316}
267 317
268my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0 .. 255; 318my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
269my @ops;
270 319
271my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 320my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A);
272my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 321my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity
322
323$op[0x00] = '';
273 324
274# mov r,r / r,M / M,r 325# mov r,r / r,M / M,r
275for my $s (0..7) { 326for my $s (0..7) {
276 for my $d (0..7) { 327 for my $d (0..7) {
277 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; 328 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
278 } 329 }
279} 330}
280 331
281$op[0x00] = ''; 332$op[0x76] = 'die "HLT"'; # hlt (mov m,m)
333
334# mvi r / M
335$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7;
282 336
283$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 337$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
284$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 338$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
285$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 339$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
286$op[0x31] = '$SP = IMM16' ; # lxi #d# 0xf000 because of limited stack 340$op[0x31] = '$SP = IMM16' ; # lxi
287 341
288$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax 342$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax
289$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax 343$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax
290$op[0x32] = '$M[IMM16 ] = $A'; # sta 344$op[0x32] = '$M[IMM16 ] = $A'; # sta
291 345
346$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b
347$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d
348$op[0x3a] = '$A = $M[IMM16]'; # lda
349
350$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld
351$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld
352
292sub inxdcx($$$) { 353sub inxdcx($$$) {
293 $x = ($_[0] * 256 + $_[1] + $_[2]) & 0xffff; 354 $x = $_[0] * 256 + $_[1] + $_[2];
294 $_[0] = $x >> 8; 355 ($_[0], $_[1]) = (($x >> 8) & 0xff, $x & 0xff);
295 $_[1] = $x & 0xff;
296} 356}
297 357
298$op[0x03] = 'inxdcx $B, $C, 1'; # inx 358$op[0x03] = 'inxdcx $B, $C, 1'; # inx
299$op[0x13] = 'inxdcx $D, $E, 1'; # inx 359$op[0x13] = 'inxdcx $D, $E, 1'; # inx
300$op[0x23] = 'inxdcx $H, $L, 1'; # inx 360$op[0x23] = 'inxdcx $H, $L, 1'; # inx
303$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx 363$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx
304$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx 364$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx
305$op[0x3b] = '--$SP' ; # dcx 365$op[0x3b] = '--$SP' ; # dcx
306 366
307# "no carry" doesn't seem to be needed for vt100 - optimize? 367# "no carry" doesn't seem to be needed for vt100 - optimize?
308$op[0x04 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] + 1" for 0..7; # inr
309$op[0x05 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] - 1" for 0..7; # dcr
310
311# mvi r / M
312$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7; 368$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
369$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
370
371$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
372$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
373
374$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
375$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
376
377$op[0x2f] = '$A ^= 0xff'; # cma
313 378
314# getting this insn wrong (its the only 16 bit insn to modify flags) 379# getting this insn wrong (its the only 16 bit insn to modify flags)
315# wasted three of my best days with mindless vt102 rom reverse engineering 380# wasted three of my best days with mindless vt102 rom reverse engineering
316sub dad { 381sub dad {
317 $x = $H * 256 + $L + $_[0]; 382 $x = $H * 256 + $L + $_[0];
323$op[0x09] = 'dad $B * 256 + $C'; # dad 388$op[0x09] = 'dad $B * 256 + $C'; # dad
324$op[0x19] = 'dad $D * 256 + $E'; # dad 389$op[0x19] = 'dad $D * 256 + $E'; # dad
325$op[0x29] = 'dad $H * 256 + $L'; # dad 390$op[0x29] = 'dad $H * 256 + $L'; # dad
326$op[0x39] = 'dad $SP '; # dad 391$op[0x39] = 'dad $SP '; # dad
327 392
328$op[0x07] = ' $FC = $A >> 7; $A = ($A * 2 + $FC) & 0xff '; # rlc 393$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
329$op[0x17] = ' ($FC, $A) = ($A >> 7, ($A * 2 + $FC) & 0xff)'; # ral 394$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
395$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
396$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
397$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
398$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
399$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
400$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
401# possible todo: optimize ora a, maybe xra a
330 402
331$op[0x0f] = ' $FC = $A & 1; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 403$op[0xc6] = 'sf $A += IMM8'; # adi
332$op[0x1f] = ' ($FC, $A) = ($A & 1, ($A >> 1) | ($FC && 0x80))'; # rar 404# ce ADI NYI
405$op[0xd6] = 'sf $A -= IMM8'; # sui
406# de SBI NYI
407$op[0xe6] = 'sf8 $A &= IMM8'; # ani
408$op[0xee] = 'sf8 $A ^= IMM8'; # xri
409$op[0xf6] = 'sf8 $A |= IMM8'; # ori
410$op[0xfe] = 'sf $A - IMM8'; # cpi
333 411
334$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b 412$op[0xc5] = 'PUSH $B; PUSH $C';
335$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d 413$op[0xd5] = 'PUSH $D; PUSH $E';
336$op[0x3a] = '$A = $M[IMM16]'; # lda 414$op[0xe5] = 'PUSH $H; PUSH $L';
415$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
416
417$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
418$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
419$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
420$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
421
422$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
423$op[0xc3] = 'JMP IMM16'; # jmp
424
425$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
426$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
427
428$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
429$op[0xc9] = 'JMP POP + POP * 256'; # ret
430
431$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
432
433$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
434# f9 SPHL NYI
435
436$op[0x37] = '$FC = 1 '; # stc
437$op[0x3f] = '$FC = !$FC'; # cmc
438
439$op[0xd3] = 'OUT'; # out
440$op[0xdb] = 'IN'; # in
441
442$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
443
444# e3 xthl NYI # @ 917b, hl <-> (sp)
337 445
338$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 446$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete)
339$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 447$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete)
340 448
341$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld 449$op[0xf3] = '$IFF = 0'; # DI
342$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld 450$op[0xfb] = '$IFF = 1'; # EI
343 451
344# yeah, the fucking setup screens actually use daa... 452# yeah, the fucking setup screens actually use daa...
345$op[0x27] = ' 453$op[0x27] = '
346 my ($h, $l); 454 my ($h, $l);
347 455
348 ($h, $l) = ($A >> 4, $A & 15); 456 ($h, $l) = ($A >> 4, $A & 15);
349 457
350 if ($l > 9 || $FA) { 458 if ($l > 9 || $FA) {
351 $A = sf $A + 6; 459 sf $A += 6;
352 ($h, $l) = ($A >> 4, $A & 15); 460 ($h, $l) = ($A >> 4, $A & 15);
353 } 461 }
354 462
355 if ($h > 9 || $FC) { 463 if ($h > 9 || $FC) {
356 $h += 6; 464 $h += 6;
357 $A = ($h * 16 + $l) & 0xff; 465 $A = ($h * 16 + $l) & 0xff;
358 } 466 }
359'; # daa, almost certainly borked, also, acarry not set by sf 467'; # daa, almost certainly borked, also, acarry not set by sf
360 468
361$op[0x2f] = '$A ^= 0xff'; # cma
362
363$op[0x37] = '$FC = 1 '; # stc
364$op[0x3f] = '$FC = !$FC'; # cmc
365
366$op[0x76] = 'die "HLT"'; # hlt
367
368$op[0x80 + $_] = '$A = sf $A + ' . $reg[$_] for 0..7; # add
369$op[0x88 + $_] = '$A = sf $A + $FC + ' . $reg[$_] for 0..7; # adc
370$op[0x90 + $_] = '$A = sf $A - ' . $reg[$_] for 0..7; # sub
371$op[0x98 + $_] = '$A = sf $A - $FC - ' . $reg[$_] for 0..7; # sbb
372$op[0xa0 + $_] = '$A = sf $A & ' . $reg[$_] for 0..7; # ana
373$op[0xa8 + $_] = '$A = sf $A ^ ' . $reg[$_] for 0..7; # xra
374$op[0xb0 + $_] = '$A = sf $A | ' . $reg[$_] for 0..7; # ora
375$op[0xb8 + $_] = ' sf $A - ' . $reg[$_] for 0..7; # cmp
376# possible todo: optimize ora a, maybe xra a
377
378$op[0xc6 + $_] = '$A = sf $A + IMM8'; # adi
379$op[0xd6 + $_] = '$A = sf $A - IMM8'; # sui
380$op[0xe6 + $_] = '$A = sf $A & IMM8'; # ani
381$op[0xee + $_] = '$A = sf $A ^ IMM8'; # xri
382$op[0xf6 + $_] = '$A = sf $A | IMM8'; # ori
383$op[0xfe + $_] = ' sf $A - IMM8'; # cpi
384
385$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
386$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
387$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
388$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = (!!($x & 0x80), !!($x & 0x40), !!($x & 0x10), !!($x & 0x04), !!($x & 0x01))'; # pop psw
389
390$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
391
392$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
393$op[0xc3] = 'JMP IMM16'; # jmp
394
395$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
396$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
397
398$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
399
400$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
401$op[0xc9] = 'JMP POP + POP * 256'; # ret
402
403$op[0xc5] = 'PUSH $B; PUSH $C';
404$op[0xd5] = 'PUSH $D; PUSH $E';
405$op[0xe5] = 'PUSH $H; PUSH $L';
406$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
407
408$op[0xd3] = 'OUT'; # out
409$op[0xdb] = 'IN'; # in
410
411# e3 xthl @ 917b, hl <-> (sp)
412
413$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
414
415$op[0xf3] = '$IFF = 0'; # DI
416$op[0xfb] = '$IFF = 1'; # EI
417
418@ops = @op; # for debugging #d#
419
420############################################################################# 469#############################################################################
470# print cpu status for debugging purposes
421 471
422# print cpu status, for debugging 472# print cpu status, for debugging
423sub status { 473sub status {
424 my $PC = shift || $PC; 474 my $PC = shift || $PC;
425 475
429 ($FZ ? "1" : "0") 479 ($FZ ? "1" : "0")
430 . ($FS ? "1" : "0") 480 . ($FS ? "1" : "0")
431 . ($FC ? "1" : "0") 481 . ($FC ? "1" : "0")
432 . ($FA ? "1" : "0") 482 . ($FA ? "1" : "0")
433 . ($FP ? "1" : "0"), 483 . ($FP ? "1" : "0"),
434 $M[$PC], $ops[$M[$PC]]; 484 $M[$PC], $op[$M[$PC]];
435} 485}
436 486
437############################################################################# 487#############################################################################
488# video emulation
438 489
439my @chr = ( 490binmode STDOUT;
491
492my @CHARMAP = (
440 " " , "\x{29eb}", "\x{2592}", "\x{2409}", 493 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
441 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}", 494 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
442 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}", 495 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
443 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}", 496 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
444 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}", 497 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
446 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}", 499 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}",
447 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}", 500 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}",
448 (map chr, 0x020 .. 0x7e), 501 (map chr, 0x020 .. 0x7e),
449); 502);
450 503
451utf8::encode $_ for @chr; 504utf8::encode $_ for @CHARMAP;
452 505
453sub prscr { 506my @SGR; # sgr sequences for attributes
507
508for (0x00 .. 0xff) {
509 my $sgr = "";
510
511 # ~1 sgr 5 blink
512 # ~2 sgr 4 underline
513 # ~4 sgr 1 bold
514 # 0x80 in attr, sgr 7, reversed
515
516 $sgr .= ";5" unless $_ & 0x01;
517 $sgr .= ";4" unless $_ & 0x02;
518 $sgr .= ";1" unless $_ & 0x04;
519 $sgr .= ";7" if $_ & 0x80;
520
521 $SGR[$_] = "\e[${sgr}m";
522}
523
524my @LED = $VT102
525 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
526 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
527
528# display screen
529sub display {
454 my $i = 0x2000; 530 my $i = 0x2000;
455 531
456 my $scr = sprintf "\x1b[H--- KBD %08b CLK %d PC %04x RST %03b IFF %01b PUS %02x IM %03b\x1b[K\n", $KSTATUS, $CLK, $PC, $RST, $IFF, $PUSARTCMD, $INTMASK; 532 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
533
534 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
535
536 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l");
457 537
458 line: 538 line:
459 for my $y (0 .. 25) { 539 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines
540 my $prev_sgr;
541
460 $scr .= sprintf "%2d |", ++$y; 542 $scr .= sprintf "%2d \xe2\x94\x82", $y;
461 543
462 for (0..140) { 544 for (0..139) {
463 my $c = $M[$i++]; 545 my $c = $M[$i];
464
465# printf "%04x %02x\n", $i-1,$c;
466 546
467 if ($c == 0x7f) { # also 0xff, but the firmware avoids that 547 if ($c == 0x7f) { # also 0xff, but the firmware avoids that
468 $scr .= "|\x1b[K\n"; 548 $scr .= "\e[m\xe2\x94\x82\e[K\n";
469 549
470 my $a1 = $M[$i++]; 550 my $a1 = $M[$i + 1];
471 my $a0 = $M[$i++]; 551 my $a0 = $M[$i + 2];
472 552
473 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff); 553 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff);
474 554
475 next line; 555 next line;
476 } 556 }
477 557
478 $scr .= "\x1b[7m" if $c & 0x80; 558 my $sgr = $SGR[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)];
479 $scr .= $chr[$c & 0x7f] // sprintf "[%02x]", $c & 0x7f; 559
480 $scr .= "\x1b[m" if $c & 0x80; 560 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr;
561
562 $scr .= $CHARMAP[$c & 0x7f];
481 } 563 }
482 564
483 $scr .= "\x1b[K\noverflow\x1b[K\n"; 565 $scr .= "\e[K\nvideo overflow\e[K\n";
484 last; 566 last;
485 } 567 }
486 568
487 if (0) {
488 $scr .= "\x1b[K\n";
489 for my $o (0x200 .. 0x232) {
490 $scr .= sprintf "%04x:", $o * 16;
491 for (0..15) {
492 $scr .= sprintf " %02x", $M[$o * 16 + $_];
493 }
494 $scr .= "\x1b[K\n";
495 }
496 }
497
498 $scr .= "\x1b[J"; 569 $scr .= "\e[m\e[J";
499 570
500 syswrite STDOUT, $scr; 571 syswrite STDOUT, $scr;
501} 572}
502 573
503############################################################################# 574#############################################################################
575# keyboard handling
504 576
505if (@ARGV) { 577# 0x080 shift, 0x100 ctrl
506 require IO::Pty; 578my %KEYMAP = (
507 $PTY = IO::Pty->new; 579 "\t" => 0x3a,
580 "\r" => 0x64,
581 "\n" => 0x44,
582
583 "\x00" => 0x77 | 0x100, # CTRL-SPACE
584 "\x1c" => 0x45 | 0x100, # CTRL-\
585 "\x1d" => 0x14 | 0x100, # CTRL-]
586 "\x1e" => 0x24 | 0x100, # CTRL-~
587 "\x1f" => 0x75 | 0x100, # CTRL-?
588
589 # hardcoded rxvt keys
590 "\e" => 0x2a, # ESC
591 "\e[3~" => 0x03, # DC
592 "\e[5~" => 0x7e, # CAPS LOCK (prior)
593 "\e[6~" => 0x6a, # NO SCROLL (next)
594 "\e[A" => 0x30, # UP
595 "\e[B" => 0x22, # DOWN
596 "\e[C" => 0x10, # RIGHT
597 "\e[D" => 0x20, # LEFT
598 "\e[a" => 0x30 | 0x080, # UP
599 "\e[b" => 0x22 | 0x080, # DOWN
600 "\e[c" => 0x10 | 0x080, # RIGHT
601 "\e[d" => 0x20 | 0x080, # LEFT
602 "\e[7~" => 0x7b, # SETUP (home)
603 "\e[8~" => 0x23, # BREAK (end)
604 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
605 "\x7f" => 0x33, # BACKSPACE
606
607 "\e[11~" => 0x32, # F1
608 "\e[11~" => 0x42, # F2
609 "\e[11~" => 0x31, # F3
610 "\e[11~" => 0x41, # F4
611);
508 612
509 my $slave = $PTY->slave; 613@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
614 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
615 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
510 616
511 $PTY->set_winsize (24, 80); 617$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl
618$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
512 619
513 unless (fork) { 620my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
514 $ENV{TERM} = $VT102 ? "vt102" : "vt100"; 621$KEYMATCH = qr{^($KEYMATCH)}s;
515 622
516 close $PTY; 623my %KMOD;
517 624
518 open STDIN , "<&", $slave; 625sub key {
519 open STDOUT, ">&", $slave; 626 my ($key) = @_;
520 open STDERR, ">&", $slave;
521 627
522 system "stty ixoff erase ^H"; 628 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
629 push @KQUEUE, -0x7d if !($key & 0x080) && delete $KMOD{0x7d}; # shift-up
523 630
524 $PTY->make_slave_controlling_terminal; 631 push @KQUEUE, 0x7c if $key & 0x100 && !$KMOD{0x7c}++; # ctrl-down
525 $PTY->close_slave; 632 push @KQUEUE, 0x7d if $key & 0x080 && !$KMOD{0x7d}++; # shift-down
526 633
527 exec @ARGV; 634 $key &= 0x7f;
528 } 635 push @KQUEUE, $key, -$key;
529
530 $PTY->close_slave;
531
532} else {
533 open $PTY, "</dev/null" or die;#d
534} 636}
535 637
536############################################################################# 638my $STDIN_BUF;
537 639
640sub stdin_parse {
641 key $KEYMAP{$1}
642 while $STDIN_BUF =~ s/$KEYMATCH//;
643
644 # skip input we can't decipher
645 substr $STDIN_BUF, 0, 1, "";
646}
647
648if ($KBD) {
649 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
650 eval q{ sub END { system "stty sane" } };
651 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
652}
653
654#############################################################################
538# initial key input, to set up online mode etc. 655# initial key input, to set up online mode etc.
656# could be done via nvram defaults
657
539@KQUEUE = ( 658@KQUEUE = (
540 0x7b, -0x7b, # setup 659 0x7b, -0x7b, # setup
541 0, # delay 660 0, # delay
542 0x28, -0x28, # 4, toggle local/online 661 0x28, -0x28, # 4, toggle local/online
543 0x38, -0x38, # 5, setup b 662 0x38, -0x38, # 5, setup b
554 0x37, -0x37, # 6 toggle wrap around 673 0x37, -0x37, # 6 toggle wrap around
555 0x7b, -0x7b, # leave setup 674 0x7b, -0x7b, # leave setup
556); 675);
557 676
558############################################################################# 677#############################################################################
678# process/pty management
559 679
560# 0x80 shift, 0x100 ctrl, 0x200 toggle 680require IO::Pty;
561my %KEYMAP = ( 681$PTY = IO::Pty->new;
562 "\t" => 0x3a,
563 "\r" => 0x64,
564 "\n" => 0x44,
565 682
566 # hardcoded rxvt keys 683my $slave = $PTY->slave;
567 "\e" => 0x2a, # ESC
568 "\e[3~" => 0x03, # DC
569 "\e[5~" => 0x7e, # CAPS LOCK (prior)
570 "\e[6~" => 0x6a, # NO SCROLL (next)
571 "\e[A" => 0x30, # UP
572 "\e[B" => 0x22, # DOWN
573 "\e[C" => 0x10, # RIGHT
574 "\e[D" => 0x20, # LEFT
575 "\e[a" => 0x30 | 0x080, # UP
576 "\e[b" => 0x22 | 0x080, # DOWN
577 "\e[c" => 0x10 | 0x080, # RIGHT
578 "\e[d" => 0x20 | 0x080, # LEFT
579 "\e[7~" => 0x7b, # SETUP (home)
580 "\e[8~" => 0x23, # BREAK (end)
581 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
582 "\x7f" => 0x33, # BACKSPACE
583 684
584 "\e[11~" => 0x32, # F1 685$PTY->set_winsize (24, 80);
585 "\e[11~" => 0x42, # F2
586 "\e[11~" => 0x31, # F3
587 "\e[11~" => 0x41, # F4
588);
589 686
590@KEYMAP{map chr, 0x20..0x40} = unpack "C*", pack "H*", 687unless (fork) {
591 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9"; 688 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
592 689
593@KEYMAP{map chr, 0x5b .. 0x7e} = unpack "C*", pack "H*", 690 close $PTY;
594 "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4";
595 691
596$KEYMAP{"\x3f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl 692 open STDIN , "<&", $slave;
597$KEYMAP{uc $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift 693 open STDOUT, ">&", $slave;
694 open STDERR, ">&", $slave;
598 695
599my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 696 system "stty ixoff erase ^H";
600$KEYMATCH = qr{^($KEYMATCH)}s;
601 697
602sub key { 698 $PTY->make_slave_controlling_terminal;
603 my ($key) = @_; 699 $PTY->close_slave;
604 700
605 state %MOD; 701 @ARGV = "sh" unless @ARGV;
606 702 exec @ARGV;
607 push @KQUEUE, -0x7c if !($key & 0x100) && delete $MOD{0x7c}; # ctrl-up
608 push @KQUEUE, -0x7d if !($key & 0x080) && delete $MOD{0x7d}; # shift-up
609
610 push @KQUEUE, 0x7c if $key & 0x100 && !$MOD{0x7c}++; # ctrl-down
611 push @KQUEUE, 0x7d if $key & 0x080 && !$MOD{0x7d}++; # shift-down
612
613 $key &= 0x7f;
614 push @KQUEUE, $key, -$key;
615} 703}
616 704
617my $STDIN_BUF; 705$PTY->close_slave;
618 706
619sub stdin_parse {
620 key $KEYMAP{$1}
621 while $STDIN_BUF =~ s/$KEYMATCH//;
622
623 # skip input we can't decipher
624 substr $STDIN_BUF, 0, 1, "";
625}
626
627if ($KBD) {
628 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
629 eval q{ sub END { system "stty sane" } };
630 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
631}
632
633############################################################################# 707#############################################################################
708# the actual hardware simulator
634 709
635my @ICACHE; # compiled instruction cache 710my @ICACHE; # compiled instruction cache
636 711
637# the cpu
638while () { 712while () {
639
640 # execute extended basic blocks 713 # execute extended basic blocks
641 $PC = ($ICACHE[$PC] ||= do { 714 $PC = ($ICACHE[$PC] ||= do {
642 my $pc = $PC; 715 my $pc = $PC;
643 716
644 my $insn = ""; 717 my $insn = "";
645 718
646 # the jit compiler 719 # the jit compiler
647 for (0..15) { 720 for (0..31) {
648
649 # optional tracing support
650 if (0) {
651 $insn .= qq<
652 if (\$PRSTATUS) {
653 status $pc;
654 die unless --\$PRSTATUS;
655 }
656 >;
657 }
658
659 my $imm; 721 my $imm;
660 my $op = $op[$M[$pc++]]; 722 my $op = $op[$M[$pc++]];
661 723
662 for ($op) { 724 for ($op) {
663 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack 725 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack
676 738
677 $insn .= "$op;\n"; 739 $insn .= "$op;\n";
678 } 740 }
679 741
680 742
681 $insn .= "$pc"; 743 $insn .= $pc;
682 $insn =~ s/\x00.*$//s; 744 $insn =~ s/\x00.*$//s;
683 745
684 eval "use integer; sub { $insn }" or die "$insn: $@" 746 eval "use integer; sub { $insn }" or die "$insn: $@"
685 })->(); 747 })->();
686 748
688 750
689 # things we do from time too time only 751 # things we do from time too time only
690 unless ($CLK & 0xf) { 752 unless ($CLK & 0xf) {
691 # do I/O 753 # do I/O
692 754
693 unless ($CLK & 0x7ff) { 755 unless ($CLK & 0xfff) {
694 756
695 # pty/serial I/O 757 # pty/serial I/O
696 unless (@PUSARTRECV || @KQUEUE || !$PTY) { 758 unless ((@PUSARTRECV >= 128) || @KQUEUE || !$PTY) {
697 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1; 759 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
698 760
699 if (select $rin, undef, undef, 0) { 761 if (select $rin, undef, undef, 0) {
700 sysread $PTY, my $buf, 256; 762 sysread $PTY, my $buf, 256;
701 push @PUSARTRECV, unpack "C*", $buf; 763 push @PUSARTRECV, unpack "C*", $buf;
722 unless ($CLK & 0x1ff) { 784 unless ($CLK & 0x1ff) {
723 $RST |= 4; # vertical retrace 785 $RST |= 4; # vertical retrace
724 } 786 }
725 787
726 # handle video hardware 788 # handle video hardware
727
728 unless ($CLK & 0x1fff) { 789 unless ($CLK & 0x3fff) {
729 prscr; 790 display;
730 } 791 }
731 } 792 }
732 793
733 # the interrupt logic 794 # the interrupt logic
734 $x = $INTPEND & ~$INTMASK; 795 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
735 if (($RST || $x) && $IFF) {
736 # rst 1 kbd data available 796 # rst 1 kbd data available
737 # rst 2 pusart xmit+recv flag 797 # rst 2 pusart xmit+recv flag
738 # rst 4 vertical retrace 798 # rst 4 vertical retrace
739 # 5.5 vt125 mb7 trans ready (serial send?) 799 # 5.5 vt125 mb7 trans ready (serial send?)
740 # 6.5 vt125 mb7 read ready (something modem?) 800 # 6.5 vt125 mb7 read ready (something modem?)
741 # 7.5 vt125 mb7 vblank h(?) 801 # 7.5 vt125 mb7 vblank h(?)
742 # trap vt125 mbi init h(?) 802 # trap vt125 mbi init h(?)
743 my $vec; 803 my $vec;
744 804
805 my $pend = $INTPEND & ~$INTMASK;
806
745 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 807 if ($pend & 1) { $vec = 0x2c; $INTPEND &= ~1;
746 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 808 } elsif ($pend & 2) { $vec = 0x34; $INTPEND &= ~2;
747 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 809 } elsif ($pend & 4) { $vec = 0x3c; $INTPEND &= ~4;
748# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # for some reason, this breaks vt102 810# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
749 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 811 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
750 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 812 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
751 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 813 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
752 } else { 814 } else {
753 die; 815 die;
758 $PC = $vec; 820 $PC = $vec;
759 821
760 $IFF = 0; 822 $IFF = 0;
761 } 823 }
762} 824}
825
826#############################################################################
827# roms in the data section + one newline
828#
829# vt100 @ 0x0000+0x0800 23-032E2
830# vt100 @ 0x0800+0x0800 23-061E2
831# vt100 @ 0x1000+0x0800 23-033E2
832# vt100 @ 0x1800+0x0800 23-034E2
833#
834# vt102 @ 0x0000+0x2000 23-226E4
835# vt102 @ 0x8000+0x2000 23-225E4
836#
837# vt131 @ 0xa000+0x0800 23-280E2
838#
763 839
764__DATA__ 840__DATA__
7651N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6 8411N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6
7662!0* w4ʘ> 2! ~î!N ̓/2!! "R !""  8422!0* w4ʘ> 2! ~î!N ̓/2!! "R !"" 
767! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u> 843! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u>
871 947
872.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ 948.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ
873\lkgfa';jhds .,nbx* :B!4 949\lkgfa';jhds .,nbx* :B!4
874!O!,ͳʄmÝ 950!O!,ͳʄmÝ
875! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N  951! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N 
8768>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 9528>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv^y5k6!
953"~ACŠ>Bw2"!z"pv"x">Cw2"!n"pv"l"!!~< N[>2,!NAp##!(0S{}ˠ>w# »xE##ö6T]#zpw#sX6#N|p}Hpv"x"7:
954"C*C!(0:!@W ==}wï2C!2D!z5*b Q!{!| gH:d G| gW]>6#k<|eoozW>Ê

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines