ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.5 by root, Mon Dec 1 18:41:36 2014 UTC vs.
Revision 1.24 by root, Wed Dec 3 18:27:12 2014 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20# this hack is not considered release ready in and way, shape, or form 20use strict;
21# ./vt102 bash
22# ./vt102 telnet towel.blinkenlights.nl
23# ./vt102 curl http://artscene.textfiles.com/vt100/trekvid.vt
24# ./vt102 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
25
26# TODO: ctrl
27
28use common::sense; 21#use common::sense;
29
30$| = 1;
31 22
32my $VT102 = 1; 23my $VT102 = 1;
33my $AVO = $VT102 || 1; 24my $VT131 = 0;
25my $AVO = 1;
26
27shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
28shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
29shift if $ARGV[0] =~ /^-?-vt102$/;
30shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
31
32# vt100 wps = word processing roms
33# vt101 = vt102 - avo, but custom rom? really?
34# vt103 = vt100 + tu58 tape drive
35# vt125 = vt100 + gpo graphics processor
36# vt132 = vt100 + avo, stp
37# vt180 = vt100 + z80 cp/m
38
39if ($ARGV[0] =~ /^-/) {
40 die <<EOF;
41
42VT102, A VT100/102/131 SIMULATOR
43
44Usage:
45
46 $0 [option] [program [args]]
47
48Examples:
49
50 $0 bash
51 $0 telnet towel.blinkenlights.nl
52 $0 curl http://artscene.textfiles.com/vt100/trekvid.vt
53 $0 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
54
55Option can be one of:
56
57 --vt100
58 --vt100+avo
59 --vt102
60 --vt131
61
62Non-obvious special keys are:
63
64 SET UP Home
65 BACKSPACE Rubout
66 CAPS LOCK Prior/PgUp
67 NO SCROLL Next/PgDown
68 BREAK End
69
70Set-Up Guide:
71
72 http://vt100.net/docs/vt102-ug/chapter3.html#S3.6
73
74Author:
75
76 Marc Lehmann <vt102\@schmorp.de>
77
78EOF
79}
80
81#############################################################################
82# ROM/hardware init
83
84my $PTY; # the pty we allocated, if any
34my $KBD = 1; 85my $KBD = 1;
35 86
36#############################################################################
37# rom initialising
38
39my $ROM = do { 87my $ROMS = do {
40 binmode DATA; 88 binmode DATA;
41 local $/; 89 local $/;
42 <DATA> 90 <DATA>
43}; 91};
44 92
450x6001 == length $ROM or die "corrupted rom image"; 930x6801 == length $ROMS or die "corrupted rom image";
46
47binmode STDOUT;
48 94
49my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 95my @M = (0xff) x 65536; # main memory, = (0xff) x 65536;
50 96
51# populate mem with rom contents 97# populate mem with rom contents
52if ($VT102) { 98if ($VT102) {
53 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x2000, 0x2000; 99 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x2000, 0x2000;
54 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROM, 0x4000, 0x2000; 100 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROMS, 0x4000, 0x2000;
101 @M[0xa000 .. 0xa7ff] = unpack "C*", substr $ROMS, 0x6000, 0x0800 if $VT131;
55} else { 102} else {
56 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x0000, 0x2000; 103 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x0000, 0x2000;
57} 104}
58 105
59############################################################################# 106#############################################################################
60# cpu registers and I/O support 107# 8085 CPU registers and I/O support
61
62my $PTY; # the pty we allocated, if any
63my $PRSTATUS = 0;
64 108
65# 8080/8085 registers 109# 8080/8085 registers
66# b, c, d, e, h, l, a 110my ($A, $B, $C, $D, $E, $H, $L); # 8 bit general purpose
67my ($A, $B, $C, $D, $E, $H, $L, $A); 111my ($PC, $SP, $IFF); # program counter, stack pointer, interrupt flag
68my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 112my ($FA, $FZ, $FS, $FP, $FC); # condition codes (psw)
69 113
70my $RST = 0; # 8080 pending interrupts 114my $RST = 0; # pending interrupts (8259 interrupt controller)
71my $INTMASK = 7; # 8085 half interrupts 115my $INTMASK = 7; # 8085 half interrupt mask
72my $INTPEND = 0; # 8085 half interrupts 116my $INTPEND = 0; # 8085 half interrupts pending
73 117
74my $x; # dummy temp for instructions 118my $CLK; # rather inexact clock, counts extended basic blocks
75 119
76my $CLK; # rather inexact clock
77
78############################################################################# 120#############################################################################
79# the dreaded nvr1400 chip. not needed to get it going, but provided for reference 121# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
80 122
81# nvram 123# nvram
82my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes 124my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes
83my $NVRADDR; 125my $NVRADDR;
84my $NVRDATA; 126my $NVRDATA;
93 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase 135 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
94 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read 136 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
95 sub { }, # 7 standby 137 sub { }, # 7 standby
96); 138);
97 139
98my @bitidx; 140my @NVR_BITIDX; $NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
99$bitidx[1 << $_] = 9 - $_ for 0..9;
100 141
101# the nvr1400 state machine. what a monster 142# the nvr1400 state machine. what a monster
102sub nvr() { 143sub nvr() {
103 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 144 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
104 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 145 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
105
106# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
107 146
108 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 147 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
109} 148}
110 149
111############################################################################# 150#############################################################################
151# I/O ports - output
112 152
113my $DC11 = 0; # 4 bit commands 153my $DC11_REVERSE = 0; # light background?
114my $DC12 = 0;
115 154
116my $XON = 1; # false if terminal wants us to pause 155my $XON = 1; # false if terminal wants us to pause
117my $PUSARTCMD; 156my $PUSARTCMD;
118 157
158my $KSTATUS; # keyboard status (click + scan flag + leds)
119my @KXMIT; # current scan queue 159my @KXMIT; # current scan queue
120my %KXMIT; # currently pressed keys 160my %KXMIT; # currently pressed keys
121my @KQUEUE; # key event queue 161my @KQUEUE; # key event queue
122my $KXCNT; # count for debouncew 162my $KXCNT; # count for debouncew
123my @PUSARTRECV; 163
124my $KSTATUS; 164my @PUSARTRECV; # serial input (to terminal) queue
125 165
126sub out_00 { # pusartdata 166sub out_00 { # pusartdata
127 # handle xon/xoff, but also pass it through 167 # handle xon/xoff, but also pass it through
128 if ($_[0] == 0x13) { 168 if ($_[0] == 0x13) {
129 $XON = 0; 169 $XON = 0;
136 syswrite $PTY, chr $_[0]; 176 syswrite $PTY, chr $_[0];
137 177
138 $INTPEND |= 1; 178 $INTPEND |= 1;
139} 179}
140 180
141sub out_01 { 181sub out_01 { # pusartcmd
142 $PUSARTCMD = shift; 182 $PUSARTCMD = shift;
143 183
144 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy 184 $INTPEND |= 1 if $PUSARTCMD & 0x01; # VT102, 5.5 txrdy
145 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason 185 $INTPEND |= 2 if $PUSARTCMD & 0x04 && !@PUSARTRECV; # VT102, 6.5 rxrdy, needed for some reason
146} 186}
147 187
148sub out_02 { } # baudrate generator 188sub out_02 { } # baudrate generator
149 189
150sub out_23 { } # unknown 190sub out_23 { } # vt102 unknown
151sub out_27 { } # unknown 191sub out_27 { } # vt102 unknown
152sub out_2f { } # unknown, connected to in 0f 192sub out_2f { } # vt102 unknown, connected to in 0f
153 193
154sub out_42 { } # brightness 194sub out_42 { } # brightness
155 195
156sub out_62 { 196sub out_62 { # nvr latch register (4 bits)
157 $NVRLATCH = shift; 197 $NVRLATCH = shift;
158} 198}
159 199
160sub out_a2 { $DC11 = shift } 200sub out_a2 { # device control 011
201 my $dc11 = 0x0f & shift;
202
203 $DC11_REVERSE = 1 if $dc11 == 0b1010;
204 $DC11_REVERSE = 0 if $dc11 == 0b1011;
205}
206
161sub out_c2 { } # unknown 207sub out_c2 { } # unknown
162sub out_d2 { $DC12 = shift } 208sub out_d2 { } # device control 012, 0..3 == 80c/132c/60hz/50hz
163 209
164sub out_82 { 210sub out_82 { # keyboard txmit
165 # keyboard 211 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4 (vt100)
166
167 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INSERT L1(?) 212 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1 (vt102)
168 # CLICK STARTSCAN ONLINE LOCKED | LED1 LED2 LED3 LED4
169 $KSTATUS = $_[0]; 213 $KSTATUS = $_[0];
170 214
171 # start new scan unless scan in progress 215 # start new scan unless scan is in progress
172 if (($_[0] & 0x40) && !@KXMIT) { 216 if (($_[0] & 0x40) && !@KXMIT) {
173 # do not reply with keys in locked mode 217 # do not reply with keys in locked mode
174 # or during post (0xff), 218 # or during post (0xff),
175 # mostly to skip init and not fail POST, 219 # mostly to skip init and not fail POST,
176 # and to send startup keys only when terminal is ready 220 # and to send startup keys only when terminal is ready
196 $RST |= 1; 240 $RST |= 1;
197 } 241 }
198} 242}
199 243
200############################################################################# 244#############################################################################
245# I/O ports - input
201 246
202my $NVRBIT; 247my $NVRBIT; # the current nvr data bit
203my $LBA; 248my $LBA6; # twice the frequenxy of LBA7
204 249
205sub in_00 { # pusart data 250sub in_00 { # pusart data
206 # interrupt not generated here, because infinite 251 # interrupt not generated here, because infinite
207 # speed does not go well with the vt102. 252 # speed does not go well with the vt102.
208 253
212sub in_01 { # pusart status 257sub in_01 { # pusart status
213 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY 258 # DSR SYNDET FE OE | PE TXEMPTY RXRDY TXRDY
214 0x85 + (@PUSARTRECV && 0x02) 259 0x85 + (@PUSARTRECV && 0x02)
215} 260}
216 261
217sub in_22 { # modem buffer(?) 262sub in_22 { # modem buffer
218 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 263 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
219 0x20 264 0x20
220} 265}
221 266
222sub in_0f { } # unknown, connected to out 2f 267sub in_0f { 0xff } # vt102 unknown, connected to out 2f
223 268
224sub in_42 { # flag buffer 269sub in_42 { # flag buffer
225 ++$LBA; 270 ++$LBA6;
226 271
227 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA & 0x3) == 0x2; 272 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA6 & 0x3) == 0x2;
228 273
229 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY 274 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY
230 275
231 my $f = 0x85 | $NVRBIT; 276 my $f = 0x85 | $NVRBIT;
232 277
233 $f |= 0x02 unless $AVO; 278 $f |= 0x02 unless $AVO;
234 $f |= 0x40 if $LBA & 0x2; 279 $f |= 0x40 if $LBA6 & 0x2;
235 280
236 $f 281 $f
237} 282}
238 283
239sub in_82 { # tbmt keyboard uart 284sub in_82 { # tbmt keyboard uart
241 286
242 $RST |= 1; 287 $RST |= 1;
243 shift @KXMIT 288 shift @KXMIT
244} 289}
245 290
246sub in_03 { 0xff } # unknown, printer uart input? 291sub in_03 { 0xff } # vt102 unknown, printer uart input?
247sub in_0b { 0xff } # unknown 292sub in_0b { 0xff } # vt102 unknown
248sub in_17 { 0xff } # unknown, printer status clear by reading? 293sub in_17 { 0xff } # vt102 unknown, printer status clear by reading?
249sub in_1b { 0xff } # unknown 294sub in_1b { 0xff } # vt102 unknown
250 295
251############################################################################# 296#############################################################################
297# 8085 cpu opcodes and flag handling
252 298
299my $x; # dummy scratchpad for opcodes
300
253sub sf { # set flags (ZSC - AP not implemented) 301sub sf { # set flags, full version (ZSC - AP not implemented)
302 $FS = $_[0] & 0x080;
303 $FZ = !($_[0] & 0x0ff);
304 $FC = $_[0] & 0x100;
305
306 $_[0] &= 0xff;
307}
308
309sub sf8 { # set flags, for 8-bit results (ZSC - AP not implemented)
310 $FS = $_[0] & 0x080;
311 $FZ = !($_[0] & 0x0ff);
312 $FC = 0;
313}
314
315sub sf_nc { # set flags, except carry
254 $FS = $_[0] & 0x080; 316 $FS = $_[0] & 0x080;
255 $FZ = ($_[0] & 0x0ff) == 0; 317 $FZ = ($_[0] & 0x0ff) == 0;
256 $FC = $_[0] & 0x100;
257 318
258 $_[0] & 0xff 319 $_[0] &= 0xff;
259} 320}
260 321
261sub sf_nc { # set flags except carry 322# opcode table
262 $FS = $_[0] & 0x080;
263 $FZ = ($_[0] & 0x0ff) == 0;
264
265 $_[0] & 0xff
266}
267
268my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0 .. 255; 323my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
269my @ops;
270 324
271my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 325my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); # r/m encoding
272my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 326my @cc = ('!$FZ', '$FZ', '!$FC', '$FC', 'die;', 'die;', '!$FS', '$FS'); # cc encoding. die == unimplemented $FP parity
327
328$op[0x00] = ''; # nop
273 329
274# mov r,r / r,M / M,r 330# mov r,r / r,M / M,r
275for my $s (0..7) { 331for my $s (0..7) {
276 for my $d (0..7) { 332 for my $d (0..7) {
277 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; 333 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
278 } 334 }
279} 335}
280 336
281$op[0x00] = ''; 337$op[0x76] = 'die "HLT"'; # hlt (mov m,m)
338
339# mvi r / M
340$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7;
282 341
283$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 342$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
284$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 343$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
285$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 344$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
286$op[0x31] = '$SP = IMM16' ; # lxi #d# 0xf000 because of limited stack 345$op[0x31] = '$SP = IMM16' ; # lxi
287 346
288$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax 347$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax
289$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax 348$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax
290$op[0x32] = '$M[IMM16 ] = $A'; # sta 349$op[0x32] = '$M[IMM16 ] = $A'; # sta
291 350
351$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b
352$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d
353$op[0x3a] = '$A = $M[IMM16]'; # lda
354
355$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld
356$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld
357
292sub inxdcx($$$) { 358sub inxdcx($$$) {
293 $x = ($_[0] * 256 + $_[1] + $_[2]) & 0xffff; 359 $x = $_[0] * 256 + $_[1] + $_[2];
294 $_[0] = $x >> 8; 360 ($_[0], $_[1]) = (($x >> 8) & 0xff, $x & 0xff);
295 $_[1] = $x & 0xff;
296} 361}
297 362
298$op[0x03] = 'inxdcx $B, $C, 1'; # inx 363$op[0x03] = 'inxdcx $B, $C, 1'; # inx
299$op[0x13] = 'inxdcx $D, $E, 1'; # inx 364$op[0x13] = 'inxdcx $D, $E, 1'; # inx
300$op[0x23] = 'inxdcx $H, $L, 1'; # inx 365$op[0x23] = 'inxdcx $H, $L, 1'; # inx
303$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx 368$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx
304$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx 369$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx
305$op[0x3b] = '--$SP' ; # dcx 370$op[0x3b] = '--$SP' ; # dcx
306 371
307# "no carry" doesn't seem to be needed for vt100 - optimize? 372# "no carry" doesn't seem to be needed for vt100 - optimize?
308$op[0x04 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] + 1" for 0..7; # inr
309$op[0x05 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] - 1" for 0..7; # dcr
310
311# mvi r / M
312$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7; 373$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
374$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
375
376$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
377$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
378$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
379$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
313 380
314# getting this insn wrong (its the only 16 bit insn to modify flags) 381# getting this insn wrong (its the only 16 bit insn to modify flags)
315# wasted three of my best days with mindless vt102 rom reverse engineering 382# wasted three of my best days with mindless vt102 rom reverse engineering
316sub dad { 383sub dad {
317 $x = $H * 256 + $L + $_[0]; 384 $x = $H * 256 + $L + $_[0];
323$op[0x09] = 'dad $B * 256 + $C'; # dad 390$op[0x09] = 'dad $B * 256 + $C'; # dad
324$op[0x19] = 'dad $D * 256 + $E'; # dad 391$op[0x19] = 'dad $D * 256 + $E'; # dad
325$op[0x29] = 'dad $H * 256 + $L'; # dad 392$op[0x29] = 'dad $H * 256 + $L'; # dad
326$op[0x39] = 'dad $SP '; # dad 393$op[0x39] = 'dad $SP '; # dad
327 394
328$op[0x07] = ' $FC = $A >> 7; $A = ($A * 2 + $FC) & 0xff '; # rlc 395$op[0x2f] = '$A ^= 0xff'; # cma
329$op[0x17] = ' ($FC, $A) = ($A >> 7, ($A * 2 + $FC) & 0xff)'; # ral
330 396
331$op[0x0f] = ' $FC = $A & 1; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 397$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
332$op[0x1f] = ' ($FC, $A) = ($A & 1, ($A >> 1) | ($FC && 0x80))'; # rar 398$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
399$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
400$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
401$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
402$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
403$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
404$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
405# possible todo: optimize ora a, maybe xra a, possibly ana
333 406
334$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b 407$op[0xc6] = 'sf $A += IMM8'; # adi
335$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d 408$op[0xd6] = 'sf $A -= IMM8'; # sui
336$op[0x3a] = '$A = $M[IMM16]'; # lda 409$op[0xe6] = 'sf8 $A &= IMM8'; # ani
410$op[0xee] = 'sf8 $A ^= IMM8'; # xri
411$op[0xf6] = 'sf8 $A |= IMM8'; # ori
412$op[0xfe] = 'sf $A - IMM8'; # cpi
413# ce ACI NYI, apparently unused
414# de SBI NYI, apparently unused
337 415
416$op[0xc5] = 'PUSH $B; PUSH $C';
417$op[0xd5] = 'PUSH $D; PUSH $E';
418$op[0xe5] = 'PUSH $H; PUSH $L';
419$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
420
421$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
422$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
423$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
424$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
425
426$op[0xc2 + $_ * 8] = 'BRA IMM16 if ' . $cc[$_] for 0..7; # jcc
427$op[0xc3] = 'JMP IMM16'; # jmp
428
429$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) if ' . $cc[$_] for 0..7; # ccc
430$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
431
432$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 if ' . $cc[$_] for 0..7; # rcc
433$op[0xc9] = 'JMP POP + POP * 256'; # ret
434
435$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
436
437$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
438# f9 SPHL NYI, apparently unused
439
440$op[0x37] = '$FC = 1 '; # stc
441$op[0x3f] = '$FC = !$FC'; # cmc
442
443$op[0xd3] = 'OUT'; # out
444$op[0xdb] = 'IN'; # in
445
446$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
447
448# e3 xthl NYI # @ 917b in e69, hl <-> (sp)
449
338$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 450$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (8085, incomplete)
339$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 451$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (8085, incomplete)
340 452
341$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld 453$op[0xf3] = '$IFF = 0'; # di
342$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld 454$op[0xfb] = '$IFF = 1'; # ei
343 455
344# yeah, the fucking setup screens actually use daa... 456# yeah, the fucking setup screen actually uses daa...
345$op[0x27] = ' 457$op[0x27] = '
346 my ($h, $l); 458 my ($h, $l);
347 459
348 ($h, $l) = ($A >> 4, $A & 15); 460 ($h, $l) = ($A >> 4, $A & 15);
349 461
350 if ($l > 9 || $FA) { 462 if ($l > 9 || $FA) {
351 $A = sf $A + 6; 463 sf $A += 6;
352 ($h, $l) = ($A >> 4, $A & 15); 464 ($h, $l) = ($A >> 4, $A & 15);
353 } 465 }
354 466
355 if ($h > 9 || $FC) { 467 if ($h > 9 || $FC) {
356 $h += 6; 468 $h += 6;
357 $A = ($h * 16 + $l) & 0xff; 469 $A = ($h * 16 + $l) & 0xff;
358 } 470 }
359'; # daa, almost certainly borked, also, acarry not set by sf 471'; # daa, almost certainly borked, also, acarry not set by sf
360 472
361$op[0x2f] = '$A ^= 0xff'; # cma
362
363$op[0x37] = '$FC = 1 '; # stc
364$op[0x3f] = '$FC = !$FC'; # cmc
365
366$op[0x76] = 'die "HLT"'; # hlt
367
368$op[0x80 + $_] = '$A = sf $A + ' . $reg[$_] for 0..7; # add
369$op[0x88 + $_] = '$A = sf $A + $FC + ' . $reg[$_] for 0..7; # adc
370$op[0x90 + $_] = '$A = sf $A - ' . $reg[$_] for 0..7; # sub
371$op[0x98 + $_] = '$A = sf $A - $FC - ' . $reg[$_] for 0..7; # sbb
372$op[0xa0 + $_] = '$A = sf $A & ' . $reg[$_] for 0..7; # ana
373$op[0xa8 + $_] = '$A = sf $A ^ ' . $reg[$_] for 0..7; # xra
374$op[0xb0 + $_] = '$A = sf $A | ' . $reg[$_] for 0..7; # ora
375$op[0xb8 + $_] = ' sf $A - ' . $reg[$_] for 0..7; # cmp
376# possible todo: optimize ora a, maybe xra a
377
378$op[0xc6 + $_] = '$A = sf $A + IMM8'; # adi
379$op[0xd6 + $_] = '$A = sf $A - IMM8'; # sui
380$op[0xe6 + $_] = '$A = sf $A & IMM8'; # ani
381$op[0xee + $_] = '$A = sf $A ^ IMM8'; # xri
382$op[0xf6 + $_] = '$A = sf $A | IMM8'; # ori
383$op[0xfe + $_] = ' sf $A - IMM8'; # cpi
384
385$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
386$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
387$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
388$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = (!!($x & 0x80), !!($x & 0x40), !!($x & 0x10), !!($x & 0x04), !!($x & 0x01))'; # pop psw
389
390$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
391
392$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
393$op[0xc3] = 'JMP IMM16'; # jmp
394
395$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
396$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
397
398$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
399
400$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
401$op[0xc9] = 'JMP POP + POP * 256'; # ret
402
403$op[0xc5] = 'PUSH $B; PUSH $C';
404$op[0xd5] = 'PUSH $D; PUSH $E';
405$op[0xe5] = 'PUSH $H; PUSH $L';
406$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
407
408$op[0xd3] = 'OUT'; # out
409$op[0xdb] = 'IN'; # in
410
411# e3 xthl @ 917b, hl <-> (sp)
412
413$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
414
415$op[0xf3] = '$IFF = 0'; # DI
416$op[0xfb] = '$IFF = 1'; # EI
417
418@ops = @op; # for debugging #d#
419
420############################################################################# 473#############################################################################
474# debug
421 475
422# print cpu status, for debugging 476# print cpu status, for debugging
423sub status { 477sub status {
424 my $PC = shift || $PC; 478 my $PC = shift || $PC;
425 479
429 ($FZ ? "1" : "0") 483 ($FZ ? "1" : "0")
430 . ($FS ? "1" : "0") 484 . ($FS ? "1" : "0")
431 . ($FC ? "1" : "0") 485 . ($FC ? "1" : "0")
432 . ($FA ? "1" : "0") 486 . ($FA ? "1" : "0")
433 . ($FP ? "1" : "0"), 487 . ($FP ? "1" : "0"),
434 $M[$PC], $ops[$M[$PC]]; 488 $M[$PC], $op[$M[$PC]];
435} 489}
436 490
437############################################################################# 491#############################################################################
492# video emulation
438 493
439my @chr = ( 494binmode STDOUT;
495
496my @CHARMAP = ( # acschars / chars 0..31
440 " " , "\x{29eb}", "\x{2592}", "\x{2409}", 497 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
441 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}", 498 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
442 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}", 499 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
443 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}", 500 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
444 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}", 501 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
446 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}", 503 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}",
447 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}", 504 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}",
448 (map chr, 0x020 .. 0x7e), 505 (map chr, 0x020 .. 0x7e),
449); 506);
450 507
451utf8::encode $_ for @chr; 508utf8::encode $_ for @CHARMAP;
452 509
453sub prscr { 510my @SGR; # sgr sequences for attributes
511
512for (0x00 .. 0xff) {
513 my $sgr = "";
514
515 # ~1 sgr 5 blink
516 # ~2 sgr 4 underline
517 # ~4 sgr 1 bold
518 # 0x80 in attr, sgr 7, reversed
519
520 $sgr .= ";5" unless $_ & 0x01;
521 $sgr .= ";4" unless $_ & 0x02;
522 $sgr .= ";1" unless $_ & 0x04;
523 $sgr .= ";7" if $_ & 0x80;
524
525 $SGR[$_] = "\e[${sgr}m";
526}
527
528my @LED = $VT102
529 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
530 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
531
532# display screen
533sub display {
454 my $i = 0x2000; 534 my $i = 0x2000;
455 535
456 my $scr = sprintf "\x1b[H--- KBD %08b CLK %d PC %04x RST %03b IFF %01b PUS %02x IM %03b\x1b[K\n", $KSTATUS, $CLK, $PC, $RST, $IFF, $PUSARTCMD, $INTMASK; 536 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
537
538 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
539
540 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l");
457 541
458 line: 542 line:
459 for my $y (0 .. 25) { 543 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines
544 my $prev_sgr;
545
460 $scr .= sprintf "%2d |", ++$y; 546 $scr .= sprintf "%2d \xe2\x94\x82", $y;
461 547
462 for (0..140) { 548 for (0..139) {
463 my $c = $M[$i++]; 549 my $c = $M[$i];
464
465# printf "%04x %02x\n", $i-1,$c;
466 550
467 if ($c == 0x7f) { # also 0xff, but the firmware avoids that 551 if ($c == 0x7f) { # also 0xff, but the firmware avoids that
468 $scr .= "|\x1b[K\n"; 552 $scr .= "\e[m\xe2\x94\x82\e[K\n";
469 553
470 my $a1 = $M[$i++]; 554 my $a1 = $M[$i + 1];
471 my $a0 = $M[$i++]; 555 my $a0 = $M[$i + 2];
472 556
473 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff); 557 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff);
474 558
475 next line; 559 next line;
476 } 560 }
477 561
478 $scr .= "\x1b[7m" if $c & 0x80; 562 my $sgr = $SGR[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)];
479 $scr .= $chr[$c & 0x7f] // sprintf "[%02x]", $c & 0x7f; 563
480 $scr .= "\x1b[m" if $c & 0x80; 564 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr;
565
566 $scr .= $CHARMAP[$c & 0x7f];
481 } 567 }
482 568
483 $scr .= "\x1b[K\noverflow\x1b[K\n"; 569 $scr .= "\e[K\nvideo overflow\e[K\n";
484 last; 570 last;
485 } 571 }
486 572
487 if (0) {
488 $scr .= "\x1b[K\n";
489 for my $o (0x200 .. 0x232) {
490 $scr .= sprintf "%04x:", $o * 16;
491 for (0..15) {
492 $scr .= sprintf " %02x", $M[$o * 16 + $_];
493 }
494 $scr .= "\x1b[K\n";
495 }
496 }
497
498 $scr .= "\x1b[J"; 573 $scr .= "\e[m\e[J";
499 574
500 syswrite STDOUT, $scr; 575 syswrite STDOUT, $scr;
501} 576}
502 577
503############################################################################# 578#############################################################################
579# keyboard handling
504 580
505if (@ARGV) { 581# 0x080 shift, 0x100 ctrl
506 require IO::Pty; 582my %KEYMAP = (
507 $PTY = IO::Pty->new; 583 "\t" => 0x3a,
584 "\r" => 0x64,
585 "\n" => 0x44,
586
587 "\x00" => 0x77 | 0x100, # CTRL-SPACE
588 "\x1c" => 0x45 | 0x100, # CTRL-\
589 "\x1d" => 0x14 | 0x100, # CTRL-]
590 "\x1e" => 0x24 | 0x100, # CTRL-~
591 "\x1f" => 0x75 | 0x100, # CTRL-?
592
593 # hardcoded rxvt keys
594 "\e" => 0x2a, # ESC
595 "\e[3~" => 0x03, # DC
596 "\e[5~" => 0x7e, # CAPS LOCK (prior)
597 "\e[6~" => 0x6a, # NO SCROLL (next)
598 "\e[A" => 0x30, # UP
599 "\e[B" => 0x22, # DOWN
600 "\e[C" => 0x10, # RIGHT
601 "\e[D" => 0x20, # LEFT
602 "\e[a" => 0x30 | 0x080, # UP
603 "\e[b" => 0x22 | 0x080, # DOWN
604 "\e[c" => 0x10 | 0x080, # RIGHT
605 "\e[d" => 0x20 | 0x080, # LEFT
606 "\e[7~" => 0x7b, # SETUP (home)
607 "\e[8~" => 0x23, # BREAK (end)
608 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
609 "\x7f" => 0x33, # BACKSPACE
610
611 "\e[11~" => 0x32, # F1
612 "\e[11~" => 0x42, # F2
613 "\e[11~" => 0x31, # F3
614 "\e[11~" => 0x41, # F4
615);
508 616
509 my $slave = $PTY->slave; 617@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
618 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
619 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
510 620
511 $PTY->set_winsize (24, 80); 621$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl
622$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
512 623
513 unless (fork) { 624my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
514 $ENV{TERM} = $VT102 ? "vt102" : "vt100"; 625$KEYMATCH = qr{^($KEYMATCH)}s;
515 626
516 close $PTY; 627my %KMOD; # currently pressed modifier keys
517 628
518 open STDIN , "<&", $slave; 629sub key {
519 open STDOUT, ">&", $slave; 630 my ($key) = @_;
520 open STDERR, ">&", $slave;
521 631
522 system "stty ixoff erase ^H"; 632 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
633 push @KQUEUE, -0x7d if !($key & 0x080) && delete $KMOD{0x7d}; # shift-up
523 634
524 $PTY->make_slave_controlling_terminal; 635 push @KQUEUE, 0x7c if $key & 0x100 && !$KMOD{0x7c}++; # ctrl-down
525 $PTY->close_slave; 636 push @KQUEUE, 0x7d if $key & 0x080 && !$KMOD{0x7d}++; # shift-down
526 637
527 exec @ARGV; 638 $key &= 0x7f;
528 } 639 push @KQUEUE, $key, -$key;
529
530 $PTY->close_slave;
531
532} else {
533 open $PTY, "</dev/null" or die;#d
534} 640}
535 641
536############################################################################# 642my $STDIN_BUF;
537 643
644sub stdin_parse {
645 key $KEYMAP{$1}
646 while $STDIN_BUF =~ s/$KEYMATCH//;
647
648 # skip input we can't decipher
649 substr $STDIN_BUF, 0, 1, "";
650}
651
652if ($KBD) {
653 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
654 eval q{ sub END { system "stty sane" } };
655 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
656}
657
658#############################################################################
538# initial key input, to set up online mode etc. 659# initial key input, to set up online mode etc.
660# could be done via nvram defaults
661
539@KQUEUE = ( 662@KQUEUE = (
540 0x7b, -0x7b, # setup 663 0x7b, -0x7b, # setup
541 0, # delay 664 0, # delay
542 0x28, -0x28, # 4, toggle local/online 665 0x28, -0x28, # 4, toggle local/online
543 0x38, -0x38, # 5, setup b 666 0x38, -0x38, # 5, setup b
554 0x37, -0x37, # 6 toggle wrap around 677 0x37, -0x37, # 6 toggle wrap around
555 0x7b, -0x7b, # leave setup 678 0x7b, -0x7b, # leave setup
556); 679);
557 680
558############################################################################# 681#############################################################################
682# process/pty management
559 683
560# 0x80 shift, 0x100 ctrl, 0x200 toggle 684require IO::Pty;
561my %KEYMAP = ( 685$PTY = IO::Pty->new;
562 "\t" => 0x3a,
563 "\r" => 0x64,
564 "\n" => 0x44,
565 686
566 # hardcoded rxvt keys 687my $slave = $PTY->slave;
567 "\e" => 0x2a, # ESC
568 "\e[3~" => 0x03, # DC
569 "\e[5~" => 0x7e, # CAPS LOCK (prior)
570 "\e[6~" => 0x6a, # NO SCROLL (next)
571 "\e[A" => 0x30, # UP
572 "\e[B" => 0x22, # DOWN
573 "\e[C" => 0x10, # RIGHT
574 "\e[D" => 0x20, # LEFT
575 "\e[a" => 0x30 | 0x080, # UP
576 "\e[b" => 0x22 | 0x080, # DOWN
577 "\e[c" => 0x10 | 0x080, # RIGHT
578 "\e[d" => 0x20 | 0x080, # LEFT
579 "\e[7~" => 0x7b, # SETUP (home)
580 "\e[8~" => 0x23, # BREAK (end)
581 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
582 "\x7f" => 0x33, # BACKSPACE
583 688
584 "\e[11~" => 0x32, # F1 689$PTY->set_winsize (24, 80);
585 "\e[11~" => 0x42, # F2
586 "\e[11~" => 0x31, # F3
587 "\e[11~" => 0x41, # F4
588);
589 690
590@KEYMAP{map chr, 0x20..0x40} = unpack "C*", pack "H*", 691unless (fork) {
591 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9"; 692 $ENV{LC_ALL} = "C";
693 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
592 694
593@KEYMAP{map chr, 0x5b .. 0x7e} = unpack "C*", pack "H*", 695 close $PTY;
594 "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4";
595 696
596$KEYMAP{"\x3f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl 697 open STDIN , "<&", $slave;
597$KEYMAP{uc $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift 698 open STDOUT, ">&", $slave;
699 open STDERR, ">&", $slave;
598 700
599my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 701 system "stty ixoff erase ^H";
600$KEYMATCH = qr{^($KEYMATCH)}s;
601 702
602sub key { 703 $PTY->make_slave_controlling_terminal;
603 my ($key) = @_; 704 $PTY->close_slave;
604 705
605 state %MOD; 706 @ARGV = "sh" unless @ARGV;
606 707 exec @ARGV;
607 push @KQUEUE, -0x7c if !($key & 0x100) && delete $MOD{0x7c}; # ctrl-up
608 push @KQUEUE, -0x7d if !($key & 0x080) && delete $MOD{0x7d}; # shift-up
609
610 push @KQUEUE, 0x7c if $key & 0x100 && !$MOD{0x7c}++; # ctrl-down
611 push @KQUEUE, 0x7d if $key & 0x080 && !$MOD{0x7d}++; # shift-down
612
613 $key &= 0x7f;
614 push @KQUEUE, $key, -$key;
615} 708}
616 709
617my $STDIN_BUF; 710$PTY->close_slave;
618 711
619sub stdin_parse {
620 key $KEYMAP{$1}
621 while $STDIN_BUF =~ s/$KEYMATCH//;
622
623 # skip input we can't decipher
624 substr $STDIN_BUF, 0, 1, "";
625}
626
627if ($KBD) {
628 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
629 eval q{ sub END { system "stty sane" } };
630 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
631}
632
633############################################################################# 712#############################################################################
713# the actual hardware simulator
634 714
635my @ICACHE; # compiled instruction cache 715my @ICACHE; # compiled instruction/basic block cache
636 716
717my $POWERSAVE; # powersave counter
718
719my $RIN; # libev for the less well-off
720
721(vec $RIN, 0, 1) = 1 if $KBD;
722(vec $RIN, fileno $PTY, 1) = 1 if $PTY;
723
637# the cpu 724# the cpu.
638while () { 725while () {
639
640 # execute extended basic blocks 726 # execute extended basic blocks
641 $PC = ($ICACHE[$PC] ||= do { 727 $PC = ($ICACHE[$PC] ||= do {
642 my $pc = $PC; 728 my $pc = $PC;
643 729
644 my $insn = ""; 730 my $insn = "";
645 731
646 # the jit compiler 732 # the jit compiler
647 for (0..15) { 733 for (0..31) {
648
649 # optional tracing support
650 if (0) {
651 $insn .= qq<
652 if (\$PRSTATUS) {
653 status $pc;
654 die unless --\$PRSTATUS;
655 }
656 >;
657 }
658
659 my $imm; 734 my $imm;
660 my $op = $op[$M[$pc++]]; 735 my $op = $op[$M[$pc++]];
661 736
662 for ($op) { 737 for ($op) {
663 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack 738 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack
668 743
669 s/\bPC\b/$pc/ge; # PC at end of insn 744 s/\bPC\b/$pc/ge; # PC at end of insn
670 s/\bBRA\b/return/g; # conditional jump 745 s/\bBRA\b/return/g; # conditional jump
671 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump 746 s/\bJMP\b(.*)/$1\x00/sg; # unconditional jump
672 747
673 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; 748 s/\bIN\b/ sprintf "\$A = in_%02x", $M[$pc++]/xge; # in insns call in_HEX
674 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; 749 s/\bOUT\b/sprintf "out_%02x \$A ", $M[$pc++]/xge; # out likewise
675 } 750 }
676 751
677 $insn .= "$op;\n"; 752 $insn .= "$op;\n";
678 } 753 }
679 754
680 755
681 $insn .= "$pc"; 756 $insn .= $pc;
682 $insn =~ s/\x00.*$//s; 757 $insn =~ s/\x00.*$//s;
683 758
684 eval "use integer; sub { $insn }" or die "$insn: $@" 759 eval "use integer; sub { $insn }" or die "$insn: $@"
685 })->(); 760 })->();
686 761
687 ++$CLK; 762 ++$CLK;
688 763
689 # things we do from time too time only 764 # things we do from time to time only
690 unless ($CLK & 0xf) { 765 unless ($CLK & 0xf) {
691 # do I/O 766 # do I/O
692 767
693 unless ($CLK & 0x7ff) { 768 unless ($CLK & 0xfff) {
769 if (select $x = $RIN, undef, undef, $POWERSAVE < 100 ? 0 : 0.2) {
694 770
695 # pty/serial I/O 771 # pty/serial I/O
696 unless (@PUSARTRECV || @KQUEUE || !$PTY) { 772 if ($PTY && (vec $x, fileno $PTY, 1) && (@PUSARTRECV < 128) && !@KQUEUE) {
697 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
698
699 if (select $rin, undef, undef, 0) {
700 sysread $PTY, my $buf, 256; 773 sysread $PTY, my $buf, 256;
774
775 # linux don't do cs7 and/or parity anymore, so we need to filter
776 # out xoff characters to avoid freezes.
701 push @PUSARTRECV, unpack "C*", $buf; 777 push @PUSARTRECV, grep { ($_ & 0x7f) != 0x13 } unpack "C*", $buf;
702 } 778 }
703 }
704 779
705 # keyboard input 780 # keyboard input
706 if ($KBD) { 781 if ($KBD && (vec $x, 0, 1)) {
782 # to avoid non-blocking mode on stdin (and stty min 0), we
783 # just read byte-by-byte after a select says there is data.
707 while (select my $rin = "\x01", undef, undef, 0) { 784 while (select my $rin = "\x01", undef, undef, 0) {
708 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF 785 sysread STDIN, $STDIN_BUF, 1, length $STDIN_BUF
709 or last; 786 or last;
787 }
788
789 stdin_parse if length $STDIN_BUF;
710 } 790 }
711 791
712 stdin_parse if length $STDIN_BUF; 792 $POWERSAVE = 0; # activity
793 } elsif (@PUSARTRECV || @KQUEUE) {
794 $POWERSAVE = 0;
795 } else {
796 ++$POWERSAVE;
713 } 797 }
714 } 798 }
715 799
716 # kick off various interrupts 800 # kick off serial input interrupt quite often
717
718 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though) 801 $RST |= 2 if @PUSARTRECV && $XON; # VT100, but works on vt102, too (probably not used on real hardware though)
719 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy 802 #$INTPEND |= 2 if @PUSARTRECV && $XON; # VT102, 6.5 rxrdy
720 803
721 # kick off vertical retrace form time to time 804 # kick off vertical retrace interrupt from time to time
722 unless ($CLK & 0x1ff) { 805 unless ($CLK & 0x1ff) {
723 $RST |= 4; # vertical retrace 806 $RST |= 4; # vertical retrace
724 } 807 }
725 808
726 # handle video hardware 809 # handle video hardware
727
728 unless ($CLK & 0x1fff) { 810 unless ($CLK & 0x3fff) {
729 prscr; 811 display;
730 } 812 }
731 } 813 }
732 814
733 # the interrupt logic 815 # the interrupt logic - we only interrupt after basic blocks
734 $x = $INTPEND & ~$INTMASK; 816 # which, as a side effect, ensures that we don't interrupt
735 if (($RST || $x) && $IFF) { 817 # "ei; ret" sequences and thus reduce the risk of stack overflows.
818 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
736 # rst 1 kbd data available 819 # rst 1 kbd data available
737 # rst 2 pusart xmit+recv flag 820 # rst 2 pusart xmit+recv flag
738 # rst 4 vertical retrace 821 # rst 4 vertical retrace
739 # 5.5 vt125 mb7 trans ready (serial send?) 822 # 5.5 vt125 mb7 trans ready (serial send?)
740 # 6.5 vt125 mb7 read ready (something modem?) 823 # 6.5 vt125 mb7 read ready (something modem?)
741 # 7.5 vt125 mb7 vblank h(?) 824 # 7.5 vt125 mb7 vblank h(?)
742 # trap vt125 mbi init h(?) 825 # trap vt125 mbi init h(?)
743 my $vec; 826 my $vec;
744 827
828 my $pend = $INTPEND & ~$INTMASK;
829
745 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 830 if ($pend & 1) { $vec = 0x2c; $INTPEND &= ~1;
746 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 831 } elsif ($pend & 2) { $vec = 0x34; $INTPEND &= ~2;
747 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 832 } elsif ($pend & 4) { $vec = 0x3c; $INTPEND &= ~4;
748# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # for some reason, this breaks vt102 833# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
749 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 834 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
750 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 835 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
751 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 836 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
752 } else { 837 } else {
753 die; 838 die;
754 } 839 }
755 840
841 # jump to the interrupt vector
756 $M[--$SP] = $PC >> 8; 842 $M[--$SP] = $PC >> 8;
757 $M[--$SP] = $PC & 0xff; 843 $M[--$SP] = $PC & 0xff;
758 $PC = $vec; 844 $PC = $vec;
759 845
760 $IFF = 0; 846 $IFF = 0;
761 } 847 }
762} 848}
849
850#############################################################################
851# roms in the data section + one newline
852#
853# vt100 @ 0x0000+0x0800 23-032E2
854# vt100 @ 0x0800+0x0800 23-061E2
855# vt100 @ 0x1000+0x0800 23-033E2
856# vt100 @ 0x1800+0x0800 23-034E2
857#
858# vt102 @ 0x0000+0x2000 23-226E4
859# vt102 @ 0x8000+0x2000 23-225E4
860#
861# vt131 @ 0xa000+0x0800 23-280E2
862#
763 863
764__DATA__ 864__DATA__
7651N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6 8651N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6
7662!0* w4ʘ> 2! ~î!N ̓/2!! "R !""  8662!0* w4ʘ> 2! ~î!N ̓/2!! "R !"" 
767! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u> 867! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u>
871 971
872.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ 972.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ
873\lkgfa';jhds .,nbx* :B!4 973\lkgfa';jhds .,nbx* :B!4
874!O!,ͳʄmÝ 974!O!,ͳʄmÝ
875! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N  975! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N 
8768>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 9768>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv^y5k6!
977"~ACŠ>Bw2"!z"pv"x">Cw2"!n"pv"l"!!~< N[>2,!NAp##!(0S{}ˠ>w# »xE##ö6T]#zpw#sX6#N|p}Hpv"x"7:
978"C*C!(0:!@W ==}wï2C!2D!z5*b Q!{!| gH:d G| gW]>6#k<|eoozW>Ê

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines