ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.6 by root, Mon Dec 1 19:40:48 2014 UTC vs.
Revision 1.15 by root, Wed Dec 3 02:14:56 2014 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20# this hack is not considered release ready in and way, shape, or form 20use strict;
21# ./vt102 bash
22# ./vt102 telnet towel.blinkenlights.nl
23# ./vt102 curl http://artscene.textfiles.com/vt100/trekvid.vt
24# ./vt102 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
25
26# TODO: ctrl
27
28use common::sense; 21#use common::sense;
29
30$| = 1;
31 22
32my $VT102 = 1; 23my $VT102 = 1;
33my $AVO = $VT102 || 1; 24my $VT131 = 0;
25my $AVO = 1;
26
27shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
28shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
29shift if $ARGV[0] =~ /^-?-vt102$/;
30shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
31
32if ($ARGV[0] =~ /^-/) {
33 die <<EOF;
34
35VT102, A VT100/101/102/131 SIMULATOR
36
37Usage:
38
39 $0 [option] [program [args]]
40
41Examples:
42
43 $0 bash
44 $0 telnet towel.blinkenlights.nl
45 $0 curl http://artscene.textfiles.com/vt100/trekvid.vt
46 $0 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
47
48Option can be one of:
49
50 --vt100
51 --vt100+avo
52 --vt102
53 --vt131
54
55Non-obvious special keys are:
56
57 SET UP Home
58 BACKSPACE Rubout
59 CAPS LOCK Prior/PgUp
60 NO SCROLL Next/PgDown
61 BREAK End
62
63Set-Up Guide:
64
65 http://vt100.net/docs/vt102-ug/chapter3.html#S3.6
66
67Author:
68
69 Marc Lehmann <vt102\@schmorp.de>
70
71EOF
72}
73
74#############################################################################
75# ROM/hardware init
76
77my $PTY; # the pty we allocated, if any
34my $KBD = 1; 78my $KBD = 1;
35 79
36#############################################################################
37# rom initialising
38
39my $ROM = do { 80my $ROMS = do {
40 binmode DATA; 81 binmode DATA;
41 local $/; 82 local $/;
42 <DATA> 83 <DATA>
43}; 84};
44 85
450x6001 == length $ROM or die "corrupted rom image"; 860x6801 == length $ROMS or die "corrupted rom image";
46
47binmode STDOUT;
48 87
49my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 88my @M = (0xff) x 65536; # main memory, = (0xff) x 65536;
50 89
51# populate mem with rom contents 90# populate mem with rom contents
52if ($VT102) { 91if ($VT102) {
53 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x2000, 0x2000; 92 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x2000, 0x2000;
54 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROM, 0x4000, 0x2000; 93 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROMS, 0x4000, 0x2000;
94 @M[0xa000 .. 0xa7ff] = unpack "C*", substr $ROMS, 0x6000, 0x0800 if $VT131;
55} else { 95} else {
56 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x0000, 0x2000; 96 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x0000, 0x2000;
57} 97}
58 98
59############################################################################# 99#############################################################################
60# cpu registers and I/O support 100# 8085 CPU registers and I/O support
61
62my $PTY; # the pty we allocated, if any
63my $PRSTATUS = 0;
64 101
65# 8080/8085 registers 102# 8080/8085 registers
66# b, c, d, e, h, l, a 103# b, c, d, e, h, l, a
67my ($A, $B, $C, $D, $E, $H, $L, $A); 104my ($A, $B, $C, $D, $E, $H, $L, $A);
68my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 105my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC);
74my $x; # dummy temp for instructions 111my $x; # dummy temp for instructions
75 112
76my $CLK; # rather inexact clock 113my $CLK; # rather inexact clock
77 114
78############################################################################# 115#############################################################################
79# the dreaded nvr1400 chip. not needed to get it going, but provided for reference 116# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
80 117
81# nvram 118# nvram
82my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes 119my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes
83my $NVRADDR; 120my $NVRADDR;
84my $NVRDATA; 121my $NVRDATA;
93 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase 130 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
94 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read 131 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
95 sub { }, # 7 standby 132 sub { }, # 7 standby
96); 133);
97 134
98my @bitidx; 135my @NVR_BITIDX;
99$bitidx[1 << $_] = 9 - $_ for 0..9; 136$NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
100 137
101# the nvr1400 state machine. what a monster 138# the nvr1400 state machine. what a monster
102sub nvr() { 139sub nvr() {
103 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 140 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
104 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 141 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
105 142
106# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA; 143# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
107 144
108 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 145 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
109} 146}
110 147
111############################################################################# 148#############################################################################
149# I/O ports - output
112 150
113my $DC11_REVERSE = 0; 151my $DC11_REVERSE = 0;
114 152
115my $XON = 1; # false if terminal wants us to pause 153my $XON = 1; # false if terminal wants us to pause
116my $PUSARTCMD; 154my $PUSARTCMD;
167sub out_d2 { } # 0..3 == 80c/132c/60hz/50hz 205sub out_d2 { } # 0..3 == 80c/132c/60hz/50hz
168 206
169sub out_82 { 207sub out_82 {
170 # keyboard 208 # keyboard
171 209
172 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INSERT L1(?) 210 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1
173 # CLICK STARTSCAN ONLINE LOCKED | LED1 LED2 LED3 LED4 211 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4
174 $KSTATUS = $_[0]; 212 $KSTATUS = $_[0];
175 213
176 # start new scan unless scan in progress 214 # start new scan unless scan in progress
177 if (($_[0] & 0x40) && !@KXMIT) { 215 if (($_[0] & 0x40) && !@KXMIT) {
178 # do not reply with keys in locked mode 216 # do not reply with keys in locked mode
201 $RST |= 1; 239 $RST |= 1;
202 } 240 }
203} 241}
204 242
205############################################################################# 243#############################################################################
244# I/O ports - input
206 245
207my $NVRBIT; 246my $NVRBIT;
208my $LBA; 247my $LBA6; # twice the frequenxy of LBA7
209 248
210sub in_00 { # pusart data 249sub in_00 { # pusart data
211 # interrupt not generated here, because infinite 250 # interrupt not generated here, because infinite
212 # speed does not go well with the vt102. 251 # speed does not go well with the vt102.
213 252
222sub in_22 { # modem buffer(?) 261sub in_22 { # modem buffer(?)
223 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 262 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
224 0x20 263 0x20
225} 264}
226 265
227sub in_0f { } # unknown, connected to out 2f 266sub in_0f { 0xff } # vt102 unknown, connected to out 2f
228 267
229sub in_42 { # flag buffer 268sub in_42 { # flag buffer
230 ++$LBA; 269 ++$LBA6;
231 270
232 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA & 0x3) == 0x2; 271 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA6 & 0x3) == 0x2;
233 272
234 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY 273 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY
235 274
236 my $f = 0x85 | $NVRBIT; 275 my $f = 0x85 | $NVRBIT;
237 276
238 $f |= 0x02 unless $AVO; 277 $f |= 0x02 unless $AVO;
239 $f |= 0x40 if $LBA & 0x2; 278 $f |= 0x40 if $LBA6 & 0x2;
240 279
241 $f 280 $f
242} 281}
243 282
244sub in_82 { # tbmt keyboard uart 283sub in_82 { # tbmt keyboard uart
246 285
247 $RST |= 1; 286 $RST |= 1;
248 shift @KXMIT 287 shift @KXMIT
249} 288}
250 289
251sub in_03 { 0xff } # unknown, printer uart input? 290sub in_03 { 0xff } # vt102 unknown, printer uart input?
252sub in_0b { 0xff } # unknown 291sub in_0b { 0xff } # vt102 unknown
253sub in_17 { 0xff } # unknown, printer status clear by reading? 292sub in_17 { 0xff } # vt102 unknown, printer status clear by reading?
254sub in_1b { 0xff } # unknown 293sub in_1b { 0xff } # vt102 unknown
255 294
256############################################################################# 295#############################################################################
296# 8085 cpu opcodes and flag handling
257 297
258sub sf { # set flags (ZSC - AP not implemented) 298sub sf { # set flags (ZSC - AP not implemented)
259 $FS = $_[0] & 0x080; 299 $FS = $_[0] & 0x080;
260 $FZ = ($_[0] & 0x0ff) == 0; 300 $FZ = !($_[0] & 0x0ff);
261 $FC = $_[0] & 0x100; 301 $FC = $_[0] & 0x100;
262 302
263 $_[0] & 0xff 303 $_[0] &= 0xff;
304}
305
306sub sf8 { # set flags (ZSC - AP not implemented)
307 $FS = $_[0] & 0x080;
308 $FZ = !($_[0] & 0x0ff);
309 $FC = 0;
264} 310}
265 311
266sub sf_nc { # set flags except carry 312sub sf_nc { # set flags except carry
267 $FS = $_[0] & 0x080; 313 $FS = $_[0] & 0x080;
268 $FZ = ($_[0] & 0x0ff) == 0; 314 $FZ = ($_[0] & 0x0ff) == 0;
269 315
270 $_[0] & 0xff 316 $_[0] &= 0xff;
271} 317}
272 318
273my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0 .. 255; 319my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
274my @ops;
275 320
276my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 321my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A);
277my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 322my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity
323
324$op[0x00] = '';
278 325
279# mov r,r / r,M / M,r 326# mov r,r / r,M / M,r
280for my $s (0..7) { 327for my $s (0..7) {
281 for my $d (0..7) { 328 for my $d (0..7) {
282 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; 329 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
283 } 330 }
284} 331}
285 332
286$op[0x00] = ''; 333$op[0x76] = 'die "HLT"'; # hlt (mov m,m)
334
335# mvi r / M
336$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7;
287 337
288$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 338$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
289$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 339$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
290$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 340$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
291$op[0x31] = '$SP = IMM16' ; # lxi #d# 0xf000 because of limited stack 341$op[0x31] = '$SP = IMM16' ; # lxi
292 342
293$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax 343$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax
294$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax 344$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax
295$op[0x32] = '$M[IMM16 ] = $A'; # sta 345$op[0x32] = '$M[IMM16 ] = $A'; # sta
296 346
347$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b
348$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d
349$op[0x3a] = '$A = $M[IMM16]'; # lda
350
351$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld
352$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld
353
297sub inxdcx($$$) { 354sub inxdcx($$$) {
298 $x = ($_[0] * 256 + $_[1] + $_[2]) & 0xffff; 355 $x = $_[0] * 256 + $_[1] + $_[2];
299 $_[0] = $x >> 8; 356 ($_[0], $_[1]) = (($x >> 8) & 0xff, $x & 0xff);
300 $_[1] = $x & 0xff;
301} 357}
302 358
303$op[0x03] = 'inxdcx $B, $C, 1'; # inx 359$op[0x03] = 'inxdcx $B, $C, 1'; # inx
304$op[0x13] = 'inxdcx $D, $E, 1'; # inx 360$op[0x13] = 'inxdcx $D, $E, 1'; # inx
305$op[0x23] = 'inxdcx $H, $L, 1'; # inx 361$op[0x23] = 'inxdcx $H, $L, 1'; # inx
308$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx 364$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx
309$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx 365$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx
310$op[0x3b] = '--$SP' ; # dcx 366$op[0x3b] = '--$SP' ; # dcx
311 367
312# "no carry" doesn't seem to be needed for vt100 - optimize? 368# "no carry" doesn't seem to be needed for vt100 - optimize?
313$op[0x04 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] + 1" for 0..7; # inr
314$op[0x05 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] - 1" for 0..7; # dcr
315
316# mvi r / M
317$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7; 369$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
370$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
371
372$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
373$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
374
375$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
376$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
377
378$op[0x2f] = '$A ^= 0xff'; # cma
318 379
319# getting this insn wrong (its the only 16 bit insn to modify flags) 380# getting this insn wrong (its the only 16 bit insn to modify flags)
320# wasted three of my best days with mindless vt102 rom reverse engineering 381# wasted three of my best days with mindless vt102 rom reverse engineering
321sub dad { 382sub dad {
322 $x = $H * 256 + $L + $_[0]; 383 $x = $H * 256 + $L + $_[0];
328$op[0x09] = 'dad $B * 256 + $C'; # dad 389$op[0x09] = 'dad $B * 256 + $C'; # dad
329$op[0x19] = 'dad $D * 256 + $E'; # dad 390$op[0x19] = 'dad $D * 256 + $E'; # dad
330$op[0x29] = 'dad $H * 256 + $L'; # dad 391$op[0x29] = 'dad $H * 256 + $L'; # dad
331$op[0x39] = 'dad $SP '; # dad 392$op[0x39] = 'dad $SP '; # dad
332 393
333$op[0x07] = ' $FC = $A >> 7; $A = ($A * 2 + $FC) & 0xff '; # rlc 394$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
334$op[0x17] = ' ($FC, $A) = ($A >> 7, ($A * 2 + $FC) & 0xff)'; # ral 395$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
396$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
397$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
398$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
399$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
400$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
401$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
402# possible todo: optimize ora a, maybe xra a
335 403
336$op[0x0f] = ' $FC = $A & 1; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 404$op[0xc6] = 'sf $A += IMM8'; # adi
337$op[0x1f] = ' ($FC, $A) = ($A & 1, ($A >> 1) | ($FC && 0x80))'; # rar 405# ce ADI NYI
406$op[0xd6] = 'sf $A -= IMM8'; # sui
407# de SBI NYI
408$op[0xe6] = 'sf8 $A &= IMM8'; # ani
409$op[0xee] = 'sf8 $A ^= IMM8'; # xri
410$op[0xf6] = 'sf8 $A |= IMM8'; # ori
411$op[0xfe] = 'sf $A - IMM8'; # cpi
338 412
339$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b 413$op[0xc5] = 'PUSH $B; PUSH $C';
340$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d 414$op[0xd5] = 'PUSH $D; PUSH $E';
341$op[0x3a] = '$A = $M[IMM16]'; # lda 415$op[0xe5] = 'PUSH $H; PUSH $L';
416$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
417
418$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
419$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
420$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
421$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
422
423$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
424$op[0xc3] = 'JMP IMM16'; # jmp
425
426$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
427$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
428
429$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
430$op[0xc9] = 'JMP POP + POP * 256'; # ret
431
432$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
433
434$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
435# f9 SPHL NYI
436
437$op[0x37] = '$FC = 1 '; # stc
438$op[0x3f] = '$FC = !$FC'; # cmc
439
440$op[0xd3] = 'OUT'; # out
441$op[0xdb] = 'IN'; # in
442
443$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
444
445# e3 xthl NYI # @ 917b, hl <-> (sp)
342 446
343$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 447$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete)
344$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 448$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete)
345 449
346$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld 450$op[0xf3] = '$IFF = 0'; # DI
347$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld 451$op[0xfb] = '$IFF = 1'; # EI
348 452
349# yeah, the fucking setup screens actually use daa... 453# yeah, the fucking setup screens actually use daa...
350$op[0x27] = ' 454$op[0x27] = '
351 my ($h, $l); 455 my ($h, $l);
352 456
353 ($h, $l) = ($A >> 4, $A & 15); 457 ($h, $l) = ($A >> 4, $A & 15);
354 458
355 if ($l > 9 || $FA) { 459 if ($l > 9 || $FA) {
356 $A = sf $A + 6; 460 sf $A += 6;
357 ($h, $l) = ($A >> 4, $A & 15); 461 ($h, $l) = ($A >> 4, $A & 15);
358 } 462 }
359 463
360 if ($h > 9 || $FC) { 464 if ($h > 9 || $FC) {
361 $h += 6; 465 $h += 6;
362 $A = ($h * 16 + $l) & 0xff; 466 $A = ($h * 16 + $l) & 0xff;
363 } 467 }
364'; # daa, almost certainly borked, also, acarry not set by sf 468'; # daa, almost certainly borked, also, acarry not set by sf
365 469
366$op[0x2f] = '$A ^= 0xff'; # cma
367
368$op[0x37] = '$FC = 1 '; # stc
369$op[0x3f] = '$FC = !$FC'; # cmc
370
371$op[0x76] = 'die "HLT"'; # hlt
372
373$op[0x80 + $_] = '$A = sf $A + ' . $reg[$_] for 0..7; # add
374$op[0x88 + $_] = '$A = sf $A + $FC + ' . $reg[$_] for 0..7; # adc
375$op[0x90 + $_] = '$A = sf $A - ' . $reg[$_] for 0..7; # sub
376$op[0x98 + $_] = '$A = sf $A - $FC - ' . $reg[$_] for 0..7; # sbb
377$op[0xa0 + $_] = '$A = sf $A & ' . $reg[$_] for 0..7; # ana
378$op[0xa8 + $_] = '$A = sf $A ^ ' . $reg[$_] for 0..7; # xra
379$op[0xb0 + $_] = '$A = sf $A | ' . $reg[$_] for 0..7; # ora
380$op[0xb8 + $_] = ' sf $A - ' . $reg[$_] for 0..7; # cmp
381# possible todo: optimize ora a, maybe xra a
382
383$op[0xc6 + $_] = '$A = sf $A + IMM8'; # adi
384$op[0xd6 + $_] = '$A = sf $A - IMM8'; # sui
385$op[0xe6 + $_] = '$A = sf $A & IMM8'; # ani
386$op[0xee + $_] = '$A = sf $A ^ IMM8'; # xri
387$op[0xf6 + $_] = '$A = sf $A | IMM8'; # ori
388$op[0xfe + $_] = ' sf $A - IMM8'; # cpi
389
390$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
391$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
392$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
393$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = (!!($x & 0x80), !!($x & 0x40), !!($x & 0x10), !!($x & 0x04), !!($x & 0x01))'; # pop psw
394
395$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
396
397$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
398$op[0xc3] = 'JMP IMM16'; # jmp
399
400$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
401$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
402
403$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
404
405$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
406$op[0xc9] = 'JMP POP + POP * 256'; # ret
407
408$op[0xc5] = 'PUSH $B; PUSH $C';
409$op[0xd5] = 'PUSH $D; PUSH $E';
410$op[0xe5] = 'PUSH $H; PUSH $L';
411$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
412
413$op[0xd3] = 'OUT'; # out
414$op[0xdb] = 'IN'; # in
415
416# e3 xthl @ 917b, hl <-> (sp)
417
418$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
419
420$op[0xf3] = '$IFF = 0'; # DI
421$op[0xfb] = '$IFF = 1'; # EI
422
423@ops = @op; # for debugging #d#
424
425############################################################################# 470#############################################################################
471# print cpu status for debugging purposes
426 472
427# print cpu status, for debugging 473# print cpu status, for debugging
428sub status { 474sub status {
429 my $PC = shift || $PC; 475 my $PC = shift || $PC;
430 476
434 ($FZ ? "1" : "0") 480 ($FZ ? "1" : "0")
435 . ($FS ? "1" : "0") 481 . ($FS ? "1" : "0")
436 . ($FC ? "1" : "0") 482 . ($FC ? "1" : "0")
437 . ($FA ? "1" : "0") 483 . ($FA ? "1" : "0")
438 . ($FP ? "1" : "0"), 484 . ($FP ? "1" : "0"),
439 $M[$PC], $ops[$M[$PC]]; 485 $M[$PC], $op[$M[$PC]];
440} 486}
441 487
442############################################################################# 488#############################################################################
489# video emulation
443 490
444my @chr = ( 491binmode STDOUT;
492
493my @CHARMAP = (
445 " " , "\x{29eb}", "\x{2592}", "\x{2409}", 494 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
446 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}", 495 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
447 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}", 496 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
448 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}", 497 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
449 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}", 498 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
451 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}", 500 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}",
452 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}", 501 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}",
453 (map chr, 0x020 .. 0x7e), 502 (map chr, 0x020 .. 0x7e),
454); 503);
455 504
456utf8::encode $_ for @chr; 505utf8::encode $_ for @CHARMAP;
457 506
458my @sgr; # sgr sequences for attributes 507my @SGR; # sgr sequences for attributes
459 508
460for (0x00 .. 0xff) { 509for (0x00 .. 0xff) {
461 my $sgr = ""; 510 my $sgr = "";
511
512 # ~1 sgr 5 blink
513 # ~2 sgr 4 underline
514 # ~4 sgr 1 bold
515 # 0x80 in attr, sgr 7, reversed
462 516
463 $sgr .= ";5" unless $_ & 0x01; 517 $sgr .= ";5" unless $_ & 0x01;
464 $sgr .= ";4" unless $_ & 0x02; 518 $sgr .= ";4" unless $_ & 0x02;
465 $sgr .= ";1" unless $_ & 0x04; 519 $sgr .= ";1" unless $_ & 0x04;
466 $sgr .= ";7" if $_ & 0x80; 520 $sgr .= ";7" if $_ & 0x80;
467 521
468 $sgr[$_] = "\e[${sgr}m"; 522 $SGR[$_] = "\e[${sgr}m";
469} 523}
470 524
471sub prscr { 525my @LED = $VT102
526 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
527 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
528
529# display screen
530sub display {
472 my $i = 0x2000; 531 my $i = 0x2000;
473 532
533 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
534
474 my $scr = sprintf "\e[H--- KBD %08b CLK %d\e[K\n", $KSTATUS, $CLK; 535 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
475 536
476 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l"); 537 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l");
477 538
478 line: 539 line:
479 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines 540 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines
480 my $prev_sgr; 541 my $prev_sgr;
481 542
482 $scr .= sprintf "%2d |", ++$y; 543 $scr .= sprintf "%2d \xe2\x94\x82", $y;
483 544
484 for (0..139) { 545 for (0..139) {
485 my $c = $M[$i]; 546 my $c = $M[$i];
486 547
487 if ($c == 0x7f) { # also 0xff, but the firmware avoids that 548 if ($c == 0x7f) { # also 0xff, but the firmware avoids that
488 $scr .= "\e[m|\e[K\n"; 549 $scr .= "\e[m\xe2\x94\x82\e[K\n";
489 550
490 my $a1 = $M[$i + 1]; 551 my $a1 = $M[$i + 1];
491 my $a0 = $M[$i + 2]; 552 my $a0 = $M[$i + 2];
492 553
493 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff); 554 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff);
494 555
495 next line; 556 next line;
496 } 557 }
497 558
498 my $sgr = $sgr[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)]; 559 my $sgr = $SGR[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)];
499
500 # ~1 sgr 5 blink
501 # ~2 sgr 4 underline
502 # ~4 sgr 1 bold
503 # 0x80 in attr, sgr 7, reversed
504 560
505 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr; 561 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr;
506 562
507 $scr .= $chr[$c & 0x7f]; 563 $scr .= $CHARMAP[$c & 0x7f];
508 } 564 }
509 565
510 $scr .= "\e[K\nvideo overflow\e[K\n"; 566 $scr .= "\e[K\nvideo overflow\e[K\n";
511 last; 567 last;
512 } 568 }
513 569
514 $scr .= "\e[m"; 570 $scr .= "\e[m\e[J";
515
516 if (0) {
517 $scr .= "\e[K\n";
518 for my $o (0x200 .. 0x232) {
519 $scr .= sprintf "%04x:", $o * 16;
520 for (0..15) {
521 $scr .= sprintf " %02x", $M[$o * 16 + $_];
522 }
523 $scr .= "\e[K\n";
524 }
525 }
526
527 $scr .= "\e[J";
528 571
529 syswrite STDOUT, $scr; 572 syswrite STDOUT, $scr;
530} 573}
531 574
532############################################################################# 575#############################################################################
576# keyboard handling
533 577
534if (@ARGV) { 578# 0x080 shift, 0x100 ctrl
535 require IO::Pty; 579my %KEYMAP = (
536 $PTY = IO::Pty->new; 580 "\t" => 0x3a,
581 "\r" => 0x64,
582 "\n" => 0x44,
583
584 "\x00" => 0x77 | 0x100, # CTRL-SPACE
585 "\x1c" => 0x45 | 0x100, # CTRL-\
586 "\x1d" => 0x14 | 0x100, # CTRL-]
587 "\x1e" => 0x24 | 0x100, # CTRL-~
588 "\x1f" => 0x75 | 0x100, # CTRL-?
589
590 # hardcoded rxvt keys
591 "\e" => 0x2a, # ESC
592 "\e[3~" => 0x03, # DC
593 "\e[5~" => 0x7e, # CAPS LOCK (prior)
594 "\e[6~" => 0x6a, # NO SCROLL (next)
595 "\e[A" => 0x30, # UP
596 "\e[B" => 0x22, # DOWN
597 "\e[C" => 0x10, # RIGHT
598 "\e[D" => 0x20, # LEFT
599 "\e[a" => 0x30 | 0x080, # UP
600 "\e[b" => 0x22 | 0x080, # DOWN
601 "\e[c" => 0x10 | 0x080, # RIGHT
602 "\e[d" => 0x20 | 0x080, # LEFT
603 "\e[7~" => 0x7b, # SETUP (home)
604 "\e[8~" => 0x23, # BREAK (end)
605 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
606 "\x7f" => 0x33, # BACKSPACE
607
608 "\e[11~" => 0x32, # F1
609 "\e[11~" => 0x42, # F2
610 "\e[11~" => 0x31, # F3
611 "\e[11~" => 0x41, # F4
612);
537 613
538 my $slave = $PTY->slave; 614@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
615 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
616 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
539 617
540 $PTY->set_winsize (24, 80); 618$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl
619$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
541 620
542 unless (fork) { 621my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
543 $ENV{TERM} = $VT102 ? "vt102" : "vt100"; 622$KEYMATCH = qr{^($KEYMATCH)}s;
544 623
545 close $PTY; 624my %KMOD;
546 625
547 open STDIN , "<&", $slave; 626sub key {
548 open STDOUT, ">&", $slave; 627 my ($key) = @_;
549 open STDERR, ">&", $slave;
550 628
551 system "stty ixoff erase ^H"; 629 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
630 push @KQUEUE, -0x7d if !($key & 0x080) && delete $KMOD{0x7d}; # shift-up
552 631
553 $PTY->make_slave_controlling_terminal; 632 push @KQUEUE, 0x7c if $key & 0x100 && !$KMOD{0x7c}++; # ctrl-down
554 $PTY->close_slave; 633 push @KQUEUE, 0x7d if $key & 0x080 && !$KMOD{0x7d}++; # shift-down
555 634
556 exec @ARGV; 635 $key &= 0x7f;
557 } 636 push @KQUEUE, $key, -$key;
558
559 $PTY->close_slave;
560
561} else {
562 open $PTY, "</dev/null" or die;#d
563} 637}
564 638
565############################################################################# 639my $STDIN_BUF;
566 640
641sub stdin_parse {
642 key $KEYMAP{$1}
643 while $STDIN_BUF =~ s/$KEYMATCH//;
644
645 # skip input we can't decipher
646 substr $STDIN_BUF, 0, 1, "";
647}
648
649if ($KBD) {
650 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
651 eval q{ sub END { system "stty sane" } };
652 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
653}
654
655#############################################################################
567# initial key input, to set up online mode etc. 656# initial key input, to set up online mode etc.
657# could be done via nvram defaults
658
568@KQUEUE = ( 659@KQUEUE = (
569 0x7b, -0x7b, # setup 660 0x7b, -0x7b, # setup
570 0, # delay 661 0, # delay
571 0x28, -0x28, # 4, toggle local/online 662 0x28, -0x28, # 4, toggle local/online
572 0x38, -0x38, # 5, setup b 663 0x38, -0x38, # 5, setup b
583 0x37, -0x37, # 6 toggle wrap around 674 0x37, -0x37, # 6 toggle wrap around
584 0x7b, -0x7b, # leave setup 675 0x7b, -0x7b, # leave setup
585); 676);
586 677
587############################################################################# 678#############################################################################
679# process/pty management
588 680
589# 0x80 shift, 0x100 ctrl, 0x200 toggle 681require IO::Pty;
590my %KEYMAP = ( 682$PTY = IO::Pty->new;
591 "\t" => 0x3a,
592 "\r" => 0x64,
593 "\n" => 0x44,
594 683
595 # hardcoded rxvt keys 684my $slave = $PTY->slave;
596 "\e" => 0x2a, # ESC
597 "\e[3~" => 0x03, # DC
598 "\e[5~" => 0x7e, # CAPS LOCK (prior)
599 "\e[6~" => 0x6a, # NO SCROLL (next)
600 "\e[A" => 0x30, # UP
601 "\e[B" => 0x22, # DOWN
602 "\e[C" => 0x10, # RIGHT
603 "\e[D" => 0x20, # LEFT
604 "\e[a" => 0x30 | 0x080, # UP
605 "\e[b" => 0x22 | 0x080, # DOWN
606 "\e[c" => 0x10 | 0x080, # RIGHT
607 "\e[d" => 0x20 | 0x080, # LEFT
608 "\e[7~" => 0x7b, # SETUP (home)
609 "\e[8~" => 0x23, # BREAK (end)
610 "\e[8\$" => 0x23 | 0x080, # SHIFT BREAK / DISCONNECT (shift-end)
611 "\x7f" => 0x33, # BACKSPACE
612 685
613 "\e[11~" => 0x32, # F1 686$PTY->set_winsize (24, 80);
614 "\e[11~" => 0x42, # F2
615 "\e[11~" => 0x31, # F3
616 "\e[11~" => 0x41, # F4
617);
618 687
619@KEYMAP{map chr, 0x20..0x40} = unpack "C*", pack "H*", 688unless (fork) {
620 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9"; 689 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
621 690
622@KEYMAP{map chr, 0x5b .. 0x7e} = unpack "C*", pack "H*", 691 close $PTY;
623 "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4";
624 692
625$KEYMAP{"\x3f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl 693 open STDIN , "<&", $slave;
626$KEYMAP{uc $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift 694 open STDOUT, ">&", $slave;
695 open STDERR, ">&", $slave;
627 696
628my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 697 system "stty ixoff erase ^H";
629$KEYMATCH = qr{^($KEYMATCH)}s;
630 698
631sub key { 699 $PTY->make_slave_controlling_terminal;
632 my ($key) = @_; 700 $PTY->close_slave;
633 701
634 state %MOD; 702 @ARGV = "sh" unless @ARGV;
635 703 exec @ARGV;
636 push @KQUEUE, -0x7c if !($key & 0x100) && delete $MOD{0x7c}; # ctrl-up
637 push @KQUEUE, -0x7d if !($key & 0x080) && delete $MOD{0x7d}; # shift-up
638
639 push @KQUEUE, 0x7c if $key & 0x100 && !$MOD{0x7c}++; # ctrl-down
640 push @KQUEUE, 0x7d if $key & 0x080 && !$MOD{0x7d}++; # shift-down
641
642 $key &= 0x7f;
643 push @KQUEUE, $key, -$key;
644} 704}
645 705
646my $STDIN_BUF; 706$PTY->close_slave;
647 707
648sub stdin_parse {
649 key $KEYMAP{$1}
650 while $STDIN_BUF =~ s/$KEYMATCH//;
651
652 # skip input we can't decipher
653 substr $STDIN_BUF, 0, 1, "";
654}
655
656if ($KBD) {
657 system "stty -icanon -icrnl -inlcr -echo min 1 time 0";
658 eval q{ sub END { system "stty sane" } };
659 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
660}
661
662############################################################################# 708#############################################################################
709# the actual hardware simulator
663 710
664my @ICACHE; # compiled instruction cache 711my @ICACHE; # compiled instruction cache
665 712
666# the cpu
667while () { 713while () {
668
669 # execute extended basic blocks 714 # execute extended basic blocks
670 $PC = ($ICACHE[$PC] ||= do { 715 $PC = ($ICACHE[$PC] ||= do {
671 my $pc = $PC; 716 my $pc = $PC;
672 717
673 my $insn = ""; 718 my $insn = "";
674 719
675 # the jit compiler 720 # the jit compiler
676 for (0..15) { 721 for (0..31) {
677
678 # optional tracing support
679 if (0) {
680 $insn .= qq<
681 if (\$PRSTATUS) {
682 status $pc;
683 die unless --\$PRSTATUS;
684 }
685 >;
686 }
687
688 my $imm; 722 my $imm;
689 my $op = $op[$M[$pc++]]; 723 my $op = $op[$M[$pc++]];
690 724
691 for ($op) { 725 for ($op) {
692 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack 726 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack
705 739
706 $insn .= "$op;\n"; 740 $insn .= "$op;\n";
707 } 741 }
708 742
709 743
710 $insn .= "$pc"; 744 $insn .= $pc;
711 $insn =~ s/\x00.*$//s; 745 $insn =~ s/\x00.*$//s;
712 746
713 eval "use integer; sub { $insn }" or die "$insn: $@" 747 eval "use integer; sub { $insn }" or die "$insn: $@"
714 })->(); 748 })->();
715 749
717 751
718 # things we do from time too time only 752 # things we do from time too time only
719 unless ($CLK & 0xf) { 753 unless ($CLK & 0xf) {
720 # do I/O 754 # do I/O
721 755
722 unless ($CLK & 0x7ff) { 756 unless ($CLK & 0xfff) {
723 757
724 # pty/serial I/O 758 # pty/serial I/O
725 unless (@PUSARTRECV || @KQUEUE || !$PTY) { 759 unless ((@PUSARTRECV >= 128) || @KQUEUE || !$PTY) {
726 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1; 760 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
727 761
728 if (select $rin, undef, undef, 0) { 762 if (select $rin, undef, undef, 0) {
729 sysread $PTY, my $buf, 256; 763 sysread $PTY, my $buf, 256;
730 push @PUSARTRECV, unpack "C*", $buf; 764 push @PUSARTRECV, unpack "C*", $buf;
751 unless ($CLK & 0x1ff) { 785 unless ($CLK & 0x1ff) {
752 $RST |= 4; # vertical retrace 786 $RST |= 4; # vertical retrace
753 } 787 }
754 788
755 # handle video hardware 789 # handle video hardware
756
757 unless ($CLK & 0x1fff) { 790 unless ($CLK & 0x3fff) {
758 prscr; 791 display;
759 } 792 }
760 } 793 }
761 794
762 # the interrupt logic 795 # the interrupt logic
763 $x = $INTPEND & ~$INTMASK; 796 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
764 if (($RST || $x) && $IFF) {
765 # rst 1 kbd data available 797 # rst 1 kbd data available
766 # rst 2 pusart xmit+recv flag 798 # rst 2 pusart xmit+recv flag
767 # rst 4 vertical retrace 799 # rst 4 vertical retrace
768 # 5.5 vt125 mb7 trans ready (serial send?) 800 # 5.5 vt125 mb7 trans ready (serial send?)
769 # 6.5 vt125 mb7 read ready (something modem?) 801 # 6.5 vt125 mb7 read ready (something modem?)
770 # 7.5 vt125 mb7 vblank h(?) 802 # 7.5 vt125 mb7 vblank h(?)
771 # trap vt125 mbi init h(?) 803 # trap vt125 mbi init h(?)
772 my $vec; 804 my $vec;
773 805
806 $x = $INTPEND & ~$INTMASK;
807
774 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 808 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1;
775 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 809 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2;
776 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 810 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4;
777# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # for some reason, this breaks vt102 811# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
778 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 812 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
779 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 813 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
780 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 814 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
781 } else { 815 } else {
782 die; 816 die;
787 $PC = $vec; 821 $PC = $vec;
788 822
789 $IFF = 0; 823 $IFF = 0;
790 } 824 }
791} 825}
826
827#############################################################################
828# roms in the data section + one newline
829#
830# vt100 @ 0x0000+0x0800 23-032E2
831# vt100 @ 0x0800+0x0800 23-061E2
832# vt100 @ 0x1000+0x0800 23-033E2
833# vt100 @ 0x1800+0x0800 23-034E2
834#
835# vt102 @ 0x0000+0x2000 23-226E4
836# vt102 @ 0x8000+0x2000 23-225E4
837#
838# vt131 @ 0xa000+0x0800 23-280E2
839#
792 840
793__DATA__ 841__DATA__
7941N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6 8421N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6
7952!0* w4ʘ> 2! ~î!N ̓/2!! "R !""  8432!0* w4ʘ> 2! ~î!N ̓/2!! "R !"" 
796! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u> 844! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u>
900 948
901.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ 949.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ
902\lkgfa';jhds .,nbx* :B!4 950\lkgfa';jhds .,nbx* :B!4
903!O!,ͳʄmÝ 951!O!,ͳʄmÝ
904! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N  952! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N 
9058>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 9538>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv^y5k6!
954"~ACŠ>Bw2"!z"pv"x">Cw2"!n"pv"l"!!~< N[>2,!NAp##!(0S{}ˠ>w# »xE##ö6T]#zpw#sX6#N|p}Hpv"x"7:
955"C*C!(0:!@W ==}wï2C!2D!z5*b Q!{!| gH:d G| gW]>6#k<|eoozW>Ê

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines