ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/vt102/vt102
(Generate patch)

Comparing vt102/vt102 (file contents):
Revision 1.8 by root, Mon Dec 1 20:04:11 2014 UTC vs.
Revision 1.16 by root, Wed Dec 3 02:15:18 2014 UTC

15# 15#
16 16
17# If this file contains embedded ROMs, the above copyright notice does 17# If this file contains embedded ROMs, the above copyright notice does
18# not apply to them. 18# not apply to them.
19 19
20# this hack is not considered release ready in and way, shape, or form 20use strict;
21# ./vt102 bash
22# ./vt102 telnet towel.blinkenlights.nl
23# ./vt102 curl http://artscene.textfiles.com/vt100/trekvid.vt
24# ./vt102 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
25
26# TODO: ctrl key map
27
28use common::sense; 21#use common::sense;
29
30$| = 1;
31 22
32my $VT102 = 1; 23my $VT102 = 1;
33my $AVO = $VT102 || 1; 24my $VT131 = 0;
25my $AVO = 1;
26
27shift, ($VT102 = 0), ($AVO = 0) if $ARGV[0] =~ /^-?-vt100$/;
28shift, ($VT102 = 0) if $ARGV[0] =~ /^-?-vt100\+avo$/;
29shift if $ARGV[0] =~ /^-?-vt102$/;
30shift, ($VT131 = 1) if $ARGV[0] =~ /^-?-vt131$/;
31
32if ($ARGV[0] =~ /^-/) {
33 die <<EOF;
34
35VT102, A VT100/101/102/131 SIMULATOR
36
37Usage:
38
39 $0 [option] [program [args]]
40
41Examples:
42
43 $0 bash
44 $0 telnet towel.blinkenlights.nl
45 $0 curl http://artscene.textfiles.com/vt100/trekvid.vt
46 $0 curl http://artscene.textfiles.com/vt100/surf.vt # in 3d!
47
48Option can be one of:
49
50 --vt100
51 --vt100+avo
52 --vt102
53 --vt131
54
55Non-obvious special keys are:
56
57 SET UP Home
58 BACKSPACE Rubout
59 CAPS LOCK Prior/PgUp
60 NO SCROLL Next/PgDown
61 BREAK End
62
63Set-Up Guide:
64
65 http://vt100.net/docs/vt102-ug/chapter3.html#S3.6
66
67Author:
68
69 Marc Lehmann <vt102\@schmorp.de>
70
71EOF
72}
73
74#############################################################################
75# ROM/hardware init
76
77my $PTY; # the pty we allocated, if any
34my $KBD = 1; 78my $KBD = 1;
35 79
36#############################################################################
37# rom initialising
38
39my $ROM = do { 80my $ROMS = do {
40 binmode DATA; 81 binmode DATA;
41 local $/; 82 local $/;
42 <DATA> 83 <DATA>
43}; 84};
44 85
450x6001 == length $ROM or die "corrupted rom image"; 860x6801 == length $ROMS or die "corrupted rom image";
46
47binmode STDOUT;
48 87
49my @M = (0xff) x 65536; # main memory, = (0xff) x 65536; 88my @M = (0xff) x 65536; # main memory, = (0xff) x 65536;
50 89
51# populate mem with rom contents 90# populate mem with rom contents
52if ($VT102) { 91if ($VT102) {
53 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x2000, 0x2000; 92 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x2000, 0x2000;
54 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROM, 0x4000, 0x2000; 93 @M[0x8000 .. 0x9fff] = unpack "C*", substr $ROMS, 0x4000, 0x2000;
94 @M[0xa000 .. 0xa7ff] = unpack "C*", substr $ROMS, 0x6000, 0x0800 if $VT131;
55} else { 95} else {
56 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROM, 0x0000, 0x2000; 96 @M[0x0000 .. 0x1fff] = unpack "C*", substr $ROMS, 0x0000, 0x2000;
57} 97}
58 98
59############################################################################# 99#############################################################################
60# cpu registers and I/O support 100# 8085 CPU registers and I/O support
61
62my $PTY; # the pty we allocated, if any
63my $PRSTATUS = 0;
64 101
65# 8080/8085 registers 102# 8080/8085 registers
66# b, c, d, e, h, l, a
67my ($A, $B, $C, $D, $E, $H, $L, $A); 103my ($A, $B, $C, $D, $E, $H, $L);
68my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC); 104my ($PC, $SP, $IFF, $FA, $FZ, $FS, $FP, $FC);
69 105
70my $RST = 0; # 8080 pending interrupts 106my $RST = 0; # 8080 pending interrupts
71my $INTMASK = 7; # 8085 half interrupts 107my $INTMASK = 7; # 8085 half interrupts
72my $INTPEND = 0; # 8085 half interrupts 108my $INTPEND = 0; # 8085 half interrupts
74my $x; # dummy temp for instructions 110my $x; # dummy temp for instructions
75 111
76my $CLK; # rather inexact clock 112my $CLK; # rather inexact clock
77 113
78############################################################################# 114#############################################################################
79# the dreaded nvr1400 chip. not needed to get it going, but provided for reference 115# the dreaded NVR1400 chip. not needed to get it going, but provided anyway
80 116
81# nvram 117# nvram
82my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes 118my @NVR = (0x3fff) x 100; # vt102: 214e accum, 214f only lower 8 bit used, first 44 bytes
83my $NVRADDR; 119my $NVRADDR;
84my $NVRDATA; 120my $NVRDATA;
93 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase 129 sub { $NVR[$_[0]] = 0x3fff; }, # 5 erase
94 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read 130 sub { $NVRDATA = $NVR[$_[0]]; }, # 6 read
95 sub { }, # 7 standby 131 sub { }, # 7 standby
96); 132);
97 133
98my @bitidx; 134my @NVR_BITIDX;
99$bitidx[1 << $_] = 9 - $_ for 0..9; 135$NVR_BITIDX[1 << $_] = 9 - $_ for 0..9;
100 136
101# the nvr1400 state machine. what a monster 137# the nvr1400 state machine. what a monster
102sub nvr() { 138sub nvr() {
103 my $a1 = $bitidx[(~$NVRADDR ) & 0x3ff]; 139 my $a1 = $NVR_BITIDX[(~$NVRADDR ) & 0x3ff];
104 my $a0 = $bitidx[(~$NVRADDR >> 10) & 0x3ff]; 140 my $a0 = $NVR_BITIDX[(~$NVRADDR >> 10) & 0x3ff];
105 141
106# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA; 142# printf "NVR %02x A %020b %d %d D %02x\n", $NVRLATCH, $NVRADDR & 0xfffff, $a1, $a0, $NVRDATA;
107 143
108 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1) 144 $NVRCMD[($NVRLATCH >> 1) & 7]($a1 * 10 + $a0, $NVRLATCH & 1)
109} 145}
110 146
111############################################################################# 147#############################################################################
148# I/O ports - output
112 149
113my $DC11_REVERSE = 0; 150my $DC11_REVERSE = 0;
114 151
115my $XON = 1; # false if terminal wants us to pause 152my $XON = 1; # false if terminal wants us to pause
116my $PUSARTCMD; 153my $PUSARTCMD;
167sub out_d2 { } # 0..3 == 80c/132c/60hz/50hz 204sub out_d2 { } # 0..3 == 80c/132c/60hz/50hz
168 205
169sub out_82 { 206sub out_82 {
170 # keyboard 207 # keyboard
171 208
172 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INSERT L1(?) 209 # CLICK STARTSCAN ONLINE LOCKED | CTS DSR INS L1
173 # CLICK STARTSCAN ONLINE LOCKED | LED1 LED2 LED3 LED4 210 # CLICK STARTSCAN ONLINE LOCKED | L1 L2 L3 L4
174 $KSTATUS = $_[0]; 211 $KSTATUS = $_[0];
175 212
176 # start new scan unless scan in progress 213 # start new scan unless scan in progress
177 if (($_[0] & 0x40) && !@KXMIT) { 214 if (($_[0] & 0x40) && !@KXMIT) {
178 # do not reply with keys in locked mode 215 # do not reply with keys in locked mode
201 $RST |= 1; 238 $RST |= 1;
202 } 239 }
203} 240}
204 241
205############################################################################# 242#############################################################################
243# I/O ports - input
206 244
207my $NVRBIT; 245my $NVRBIT;
208my $LBA; 246my $LBA6; # twice the frequenxy of LBA7
209 247
210sub in_00 { # pusart data 248sub in_00 { # pusart data
211 # interrupt not generated here, because infinite 249 # interrupt not generated here, because infinite
212 # speed does not go well with the vt102. 250 # speed does not go well with the vt102.
213 251
222sub in_22 { # modem buffer(?) 260sub in_22 { # modem buffer(?)
223 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0 261 # wild guess: -CTS -SPDI -RI -CD 0 0 0 0
224 0x20 262 0x20
225} 263}
226 264
227sub in_0f { } # unknown, connected to out 2f 265sub in_0f { 0xff } # vt102 unknown, connected to out 2f
228 266
229sub in_42 { # flag buffer 267sub in_42 { # flag buffer
230 ++$LBA; 268 ++$LBA6;
231 269
232 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA & 0x3) == 0x2; 270 $NVRBIT = nvr ? 0x20 : 0x00 if ($LBA6 & 0x3) == 0x2;
233 271
234 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY 272 # KBD_XMITEMPTY LBA7 NVRDATA ODDFIELD - OPTION !GFX !AVO PUSART_TXRDY
235 273
236 my $f = 0x85 | $NVRBIT; 274 my $f = 0x85 | $NVRBIT;
237 275
238 $f |= 0x02 unless $AVO; 276 $f |= 0x02 unless $AVO;
239 $f |= 0x40 if $LBA & 0x2; 277 $f |= 0x40 if $LBA6 & 0x2;
240 278
241 $f 279 $f
242} 280}
243 281
244sub in_82 { # tbmt keyboard uart 282sub in_82 { # tbmt keyboard uart
246 284
247 $RST |= 1; 285 $RST |= 1;
248 shift @KXMIT 286 shift @KXMIT
249} 287}
250 288
251sub in_03 { 0xff } # unknown, printer uart input? 289sub in_03 { 0xff } # vt102 unknown, printer uart input?
252sub in_0b { 0xff } # unknown 290sub in_0b { 0xff } # vt102 unknown
253sub in_17 { 0xff } # unknown, printer status clear by reading? 291sub in_17 { 0xff } # vt102 unknown, printer status clear by reading?
254sub in_1b { 0xff } # unknown 292sub in_1b { 0xff } # vt102 unknown
255 293
256############################################################################# 294#############################################################################
295# 8085 cpu opcodes and flag handling
257 296
258sub sf { # set flags (ZSC - AP not implemented) 297sub sf { # set flags (ZSC - AP not implemented)
259 $FS = $_[0] & 0x080; 298 $FS = $_[0] & 0x080;
260 $FZ = ($_[0] & 0x0ff) == 0; 299 $FZ = !($_[0] & 0x0ff);
261 $FC = $_[0] & 0x100; 300 $FC = $_[0] & 0x100;
262 301
263 $_[0] & 0xff 302 $_[0] &= 0xff;
303}
304
305sub sf8 { # set flags (ZSC - AP not implemented)
306 $FS = $_[0] & 0x080;
307 $FZ = !($_[0] & 0x0ff);
308 $FC = 0;
264} 309}
265 310
266sub sf_nc { # set flags except carry 311sub sf_nc { # set flags except carry
267 $FS = $_[0] & 0x080; 312 $FS = $_[0] & 0x080;
268 $FZ = ($_[0] & 0x0ff) == 0; 313 $FZ = ($_[0] & 0x0ff) == 0;
269 314
270 $_[0] & 0xff 315 $_[0] &= 0xff;
271} 316}
272 317
273my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0 .. 255; 318my @op = map { sprintf "status(); die 'unknown op %02x'", $_ } 0x00 .. 0xff;
274my @ops;
275 319
276my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A); 320my @reg = qw($B $C $D $E $H $L $M[$H*256+$L] $A);
277my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity 321my @cc = ('if !$FZ', 'if $FZ', 'if !$FC', 'if $FC', ';die', ';die', 'if !$FS', 'if $FS'); # die == unimplemented $FP parity
322
323$op[0x00] = '';
278 324
279# mov r,r / r,M / M,r 325# mov r,r / r,M / M,r
280for my $s (0..7) { 326for my $s (0..7) {
281 for my $d (0..7) { 327 for my $d (0..7) {
282 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; 328 $op[0x40 + $d * 8 + $s] = "$reg[$d] = $reg[$s]"; # mov
283 } 329 }
284} 330}
285 331
286$op[0x00] = ''; 332$op[0x76] = 'die "HLT"'; # hlt (mov m,m)
333
334# mvi r / M
335$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7;
287 336
288$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 337$op[0x01] = '($B, $C) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
289$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 338$op[0x11] = '($D, $E) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
290$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi 339$op[0x21] = '($H, $L) = (IMM16 >> 8, IMM16 & 0xff)'; # lxi
291$op[0x31] = '$SP = IMM16' ; # lxi #d# 0xf000 because of limited stack 340$op[0x31] = '$SP = IMM16' ; # lxi
292 341
293$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax 342$op[0x02] = '$M[$B * 256 + $C] = $A'; # stax
294$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax 343$op[0x12] = '$M[$D * 256 + $E] = $A'; # stax
295$op[0x32] = '$M[IMM16 ] = $A'; # sta 344$op[0x32] = '$M[IMM16 ] = $A'; # sta
296 345
346$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b
347$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d
348$op[0x3a] = '$A = $M[IMM16]'; # lda
349
350$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld
351$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld
352
297sub inxdcx($$$) { 353sub inxdcx($$$) {
298 $x = ($_[0] * 256 + $_[1] + $_[2]) & 0xffff; 354 $x = $_[0] * 256 + $_[1] + $_[2];
299 $_[0] = $x >> 8; 355 ($_[0], $_[1]) = (($x >> 8) & 0xff, $x & 0xff);
300 $_[1] = $x & 0xff;
301} 356}
302 357
303$op[0x03] = 'inxdcx $B, $C, 1'; # inx 358$op[0x03] = 'inxdcx $B, $C, 1'; # inx
304$op[0x13] = 'inxdcx $D, $E, 1'; # inx 359$op[0x13] = 'inxdcx $D, $E, 1'; # inx
305$op[0x23] = 'inxdcx $H, $L, 1'; # inx 360$op[0x23] = 'inxdcx $H, $L, 1'; # inx
308$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx 363$op[0x1b] = 'inxdcx $D, $E, -1'; # dcx
309$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx 364$op[0x2b] = 'inxdcx $H, $L, -1'; # dcx
310$op[0x3b] = '--$SP' ; # dcx 365$op[0x3b] = '--$SP' ; # dcx
311 366
312# "no carry" doesn't seem to be needed for vt100 - optimize? 367# "no carry" doesn't seem to be needed for vt100 - optimize?
313$op[0x04 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] + 1" for 0..7; # inr
314$op[0x05 + $_ * 8] = "$reg[$_] = sf_nc $reg[$_] - 1" for 0..7; # dcr
315
316# mvi r / M
317$op[0x06 + $_ * 8] = "$reg[$_] = IMM8" for 0..7; 368$op[0x04 + $_ * 8] = "sf_nc ++$reg[$_]" for 0..7; # inr
369$op[0x05 + $_ * 8] = "sf_nc --$reg[$_]" for 0..7; # dcr
370
371$op[0x07] = ' $FC = $A & 0x80; $A = (($A << 1) + ($FC && 0x01)) & 0xff '; # rlc
372$op[0x17] = ' ($FC, $A) = ($A & 0x80, (($A << 1) + ($FC && 0x01)) & 0xff)'; # ral
373
374$op[0x0f] = ' $FC = $A & 0x01; $A = ($A >> 1) | ($FC && 0x80) '; # rrc
375$op[0x1f] = ' ($FC, $A) = ($A & 0x01, ($A >> 1) | ($FC && 0x80))'; # rar
376
377$op[0x2f] = '$A ^= 0xff'; # cma
318 378
319# getting this insn wrong (its the only 16 bit insn to modify flags) 379# getting this insn wrong (its the only 16 bit insn to modify flags)
320# wasted three of my best days with mindless vt102 rom reverse engineering 380# wasted three of my best days with mindless vt102 rom reverse engineering
321sub dad { 381sub dad {
322 $x = $H * 256 + $L + $_[0]; 382 $x = $H * 256 + $L + $_[0];
328$op[0x09] = 'dad $B * 256 + $C'; # dad 388$op[0x09] = 'dad $B * 256 + $C'; # dad
329$op[0x19] = 'dad $D * 256 + $E'; # dad 389$op[0x19] = 'dad $D * 256 + $E'; # dad
330$op[0x29] = 'dad $H * 256 + $L'; # dad 390$op[0x29] = 'dad $H * 256 + $L'; # dad
331$op[0x39] = 'dad $SP '; # dad 391$op[0x39] = 'dad $SP '; # dad
332 392
333$op[0x07] = ' $FC = $A >> 7; $A = ($A * 2 + $FC) & 0xff '; # rlc 393$op[0x80 + $_] = 'sf $A += + ' . $reg[$_] for 0..7; # add
334$op[0x17] = ' ($FC, $A) = ($A >> 7, ($A * 2 + $FC) & 0xff)'; # ral 394$op[0x88 + $_] = 'sf $A += ($FC && 1) + ' . $reg[$_] for 0..7; # adc
395$op[0x90 + $_] = 'sf $A -= + ' . $reg[$_] for 0..7; # sub
396$op[0x98 + $_] = 'sf $A -= ($FC && 1) + ' . $reg[$_] for 0..7; # sbb
397$op[0xa0 + $_] = 'sf8 $A &= ' . $reg[$_] for 0..7; # ana
398$op[0xa8 + $_] = 'sf8 $A ^= ' . $reg[$_] for 0..7; # xra
399$op[0xb0 + $_] = 'sf8 $A |= ' . $reg[$_] for 0..7; # ora
400$op[0xb8 + $_] = 'sf $x = $A - ' . $reg[$_] for 0..7; # cmp
401# possible todo: optimize ora a, maybe xra a
335 402
336$op[0x0f] = ' $FC = $A & 1; $A = ($A >> 1) | ($FC && 0x80) '; # rrc 403$op[0xc6] = 'sf $A += IMM8'; # adi
337$op[0x1f] = ' ($FC, $A) = ($A & 1, ($A >> 1) | ($FC && 0x80))'; # rar 404# ce ADI NYI
405$op[0xd6] = 'sf $A -= IMM8'; # sui
406# de SBI NYI
407$op[0xe6] = 'sf8 $A &= IMM8'; # ani
408$op[0xee] = 'sf8 $A ^= IMM8'; # xri
409$op[0xf6] = 'sf8 $A |= IMM8'; # ori
410$op[0xfe] = 'sf $A - IMM8'; # cpi
338 411
339$op[0x0a] = '$A = $M[$B * 256 + $C]'; # ldax b 412$op[0xc5] = 'PUSH $B; PUSH $C';
340$op[0x1a] = '$A = $M[$D * 256 + $E]'; # ldax d 413$op[0xd5] = 'PUSH $D; PUSH $E';
341$op[0x3a] = '$A = $M[IMM16]'; # lda 414$op[0xe5] = 'PUSH $H; PUSH $L';
415$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
416
417$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
418$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
419$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
420$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = ($x & 0x80, $x & 0x40, $x & 0x10, $x & 0x04, $x & 0x01)'; # pop psw
421
422$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
423$op[0xc3] = 'JMP IMM16'; # jmp
424
425$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
426$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
427
428$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
429$op[0xc9] = 'JMP POP + POP * 256'; # ret
430
431$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
432
433$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
434# f9 SPHL NYI
435
436$op[0x37] = '$FC = 1 '; # stc
437$op[0x3f] = '$FC = !$FC'; # cmc
438
439$op[0xd3] = 'OUT'; # out
440$op[0xdb] = 'IN'; # in
441
442$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
443
444# e3 xthl NYI # @ 917b, hl <-> (sp)
342 445
343$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete) 446$op[0x20] = '$A = $INTPEND * 16 + $INTMASK + ($IFF && 8)'; # rim (incomplete)
344$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete) 447$op[0x30] = '$INTMASK = $A & 7 if $A & 8'; # sim (incomplete)
345 448
346$op[0x22] = '($M[IMM16], $M[IMM16 + 1]) = ($L, $H)'; # shld 449$op[0xf3] = '$IFF = 0'; # DI
347$op[0x2a] = '($L, $H) = ($M[IMM16], $M[IMM16 + 1])'; # lhld 450$op[0xfb] = '$IFF = 1'; # EI
348 451
349# yeah, the fucking setup screens actually use daa... 452# yeah, the fucking setup screens actually use daa...
350$op[0x27] = ' 453$op[0x27] = '
351 my ($h, $l); 454 my ($h, $l);
352 455
353 ($h, $l) = ($A >> 4, $A & 15); 456 ($h, $l) = ($A >> 4, $A & 15);
354 457
355 if ($l > 9 || $FA) { 458 if ($l > 9 || $FA) {
356 $A = sf $A + 6; 459 sf $A += 6;
357 ($h, $l) = ($A >> 4, $A & 15); 460 ($h, $l) = ($A >> 4, $A & 15);
358 } 461 }
359 462
360 if ($h > 9 || $FC) { 463 if ($h > 9 || $FC) {
361 $h += 6; 464 $h += 6;
362 $A = ($h * 16 + $l) & 0xff; 465 $A = ($h * 16 + $l) & 0xff;
363 } 466 }
364'; # daa, almost certainly borked, also, acarry not set by sf 467'; # daa, almost certainly borked, also, acarry not set by sf
365 468
366$op[0x2f] = '$A ^= 0xff'; # cma
367
368$op[0x37] = '$FC = 1 '; # stc
369$op[0x3f] = '$FC = !$FC'; # cmc
370
371$op[0x76] = 'die "HLT"'; # hlt
372
373$op[0x80 + $_] = '$A = sf $A + ' . $reg[$_] for 0..7; # add
374$op[0x88 + $_] = '$A = sf $A + $FC + ' . $reg[$_] for 0..7; # adc
375$op[0x90 + $_] = '$A = sf $A - ' . $reg[$_] for 0..7; # sub
376$op[0x98 + $_] = '$A = sf $A - $FC - ' . $reg[$_] for 0..7; # sbb
377$op[0xa0 + $_] = '$A = sf $A & ' . $reg[$_] for 0..7; # ana
378$op[0xa8 + $_] = '$A = sf $A ^ ' . $reg[$_] for 0..7; # xra
379$op[0xb0 + $_] = '$A = sf $A | ' . $reg[$_] for 0..7; # ora
380$op[0xb8 + $_] = ' sf $A - ' . $reg[$_] for 0..7; # cmp
381# possible todo: optimize ora a, maybe xra a
382
383$op[0xc6 + $_] = '$A = sf $A + IMM8'; # adi
384$op[0xd6 + $_] = '$A = sf $A - IMM8'; # sui
385$op[0xe6 + $_] = '$A = sf $A & IMM8'; # ani
386$op[0xee + $_] = '$A = sf $A ^ IMM8'; # xri
387$op[0xf6 + $_] = '$A = sf $A | IMM8'; # ori
388$op[0xfe + $_] = ' sf $A - IMM8'; # cpi
389
390$op[0xc1] = '($C, $B) = (POP, POP)'; # pop
391$op[0xd1] = '($E, $D) = (POP, POP)'; # pop
392$op[0xe1] = '($L, $H) = (POP, POP)'; # pop
393$op[0xf1] = '($x, $A) = (POP, POP); ($FS, $FZ, $FA, $FP, $FC) = (!!($x & 0x80), !!($x & 0x40), !!($x & 0x10), !!($x & 0x04), !!($x & 0x01))'; # pop psw
394
395$op[0xeb] = '($D, $E, $H, $L) = ($H, $L, $D, $E)'; # xchg
396
397$op[0xc2 + $_ * 8] = 'BRA IMM16 ' . $cc[$_] for 0..7; # jcc
398$op[0xc3] = 'JMP IMM16'; # jmp
399
400$op[0xc4 + $_ * 8] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16) ' . $cc[$_] for 0..7; # ccc
401$op[0xcd] = '(PUSH PC >> 8), (PUSH PC & 0xff), (BRA IMM16)'; # call
402
403$op[0xc7 + $_ * 8] = "JMP $_ * 8" for 0..7; # rst
404
405$op[0xc0 + $_ * 8] = 'BRA POP + POP * 256 ' . $cc[$_] for 0..7; # rcc
406$op[0xc9] = 'JMP POP + POP * 256'; # ret
407
408$op[0xc5] = 'PUSH $B; PUSH $C';
409$op[0xd5] = 'PUSH $D; PUSH $E';
410$op[0xe5] = 'PUSH $H; PUSH $L';
411$op[0xf5] = 'PUSH $A; PUSH +($FS && 0x80) | ($FZ && 0x40) | ($FA && 0x10) | ($FP && 0x04) | ($FC && 0x01)'; # psw
412
413$op[0xd3] = 'OUT'; # out
414$op[0xdb] = 'IN'; # in
415
416# e3 xthl @ 917b, hl <-> (sp)
417
418$op[0xe9] = 'JMP $H * 256 + $L'; # pchl
419
420$op[0xf3] = '$IFF = 0'; # DI
421$op[0xfb] = '$IFF = 1'; # EI
422
423@ops = @op; # for debugging #d#
424
425############################################################################# 469#############################################################################
470# print cpu status for debugging purposes
426 471
427# print cpu status, for debugging 472# print cpu status, for debugging
428sub status { 473sub status {
429 my $PC = shift || $PC; 474 my $PC = shift || $PC;
430 475
434 ($FZ ? "1" : "0") 479 ($FZ ? "1" : "0")
435 . ($FS ? "1" : "0") 480 . ($FS ? "1" : "0")
436 . ($FC ? "1" : "0") 481 . ($FC ? "1" : "0")
437 . ($FA ? "1" : "0") 482 . ($FA ? "1" : "0")
438 . ($FP ? "1" : "0"), 483 . ($FP ? "1" : "0"),
439 $M[$PC], $ops[$M[$PC]]; 484 $M[$PC], $op[$M[$PC]];
440} 485}
441 486
442############################################################################# 487#############################################################################
488# video emulation
443 489
444my @chr = ( 490binmode STDOUT;
491
492my @CHARMAP = (
445 " " , "\x{29eb}", "\x{2592}", "\x{2409}", 493 " " , "\x{29eb}", "\x{2592}", "\x{2409}",
446 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}", 494 "\x{240c}", "\x{240d}", "\x{240a}", "\x{00b0}",
447 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}", 495 "\x{00b1}", "\x{2424}", "\x{240b}", "\x{2518}",
448 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}", 496 "\x{2510}", "\x{250c}", "\x{2514}", "\x{253c}",
449 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}", 497 "\x{23ba}", "\x{23bb}", "\x{2500}", "\x{23bc}",
451 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}", 499 "\x{252c}", "\x{2502}", "\x{2264}", "\x{2265}",
452 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}", 500 "\x{03c0}", "\x{2260}", "\x{00a3}", "\x{00b7}",
453 (map chr, 0x020 .. 0x7e), 501 (map chr, 0x020 .. 0x7e),
454); 502);
455 503
456utf8::encode $_ for @chr; 504utf8::encode $_ for @CHARMAP;
457 505
458my @sgr; # sgr sequences for attributes 506my @SGR; # sgr sequences for attributes
459 507
460for (0x00 .. 0xff) { 508for (0x00 .. 0xff) {
461 my $sgr = ""; 509 my $sgr = "";
510
511 # ~1 sgr 5 blink
512 # ~2 sgr 4 underline
513 # ~4 sgr 1 bold
514 # 0x80 in attr, sgr 7, reversed
462 515
463 $sgr .= ";5" unless $_ & 0x01; 516 $sgr .= ";5" unless $_ & 0x01;
464 $sgr .= ";4" unless $_ & 0x02; 517 $sgr .= ";4" unless $_ & 0x02;
465 $sgr .= ";1" unless $_ & 0x04; 518 $sgr .= ";1" unless $_ & 0x04;
466 $sgr .= ";7" if $_ & 0x80; 519 $sgr .= ";7" if $_ & 0x80;
467 520
468 $sgr[$_] = "\e[${sgr}m"; 521 $SGR[$_] = "\e[${sgr}m";
469} 522}
470 523
471sub prscr { 524my @LED = $VT102
525 ? qw(L1 INSERT DSR CTS LOCKED LOCAL SCAN BEEP)
526 : qw(L4 L3 L2 L1 LOCKED LOCAL SCAN BEEP);
527
528# display screen
529sub display {
472 my $i = 0x2000; 530 my $i = 0x2000;
473 531
532 my $leds = join " ", map $KSTATUS & 2**$_ ? "\e[7m$LED[$_]\e[m" : "$LED[$_]", reverse 0 .. $#LED;
533
474 my $scr = sprintf "\e[H--- KBD %08b CLK %d\e[K\n", $KSTATUS, $CLK; 534 my $scr = sprintf "\e[H--- LED [ %s ] CLK %d\e[K\n", $leds, $CLK;
475 535
476 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l"); 536 $scr .= "\e[?5" . ($DC11_REVERSE ? "h" : "l");
477 537
478 line: 538 line:
479 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines 539 for my $y (0 .. 25) { # ntsc, two vblank delay lines, up to 24 text lines
480 my $prev_sgr; 540 my $prev_sgr;
481 541
482 $scr .= sprintf "%2d |", ++$y; 542 $scr .= sprintf "%2d \xe2\x94\x82", $y;
483 543
484 for (0..139) { 544 for (0..139) {
485 my $c = $M[$i]; 545 my $c = $M[$i];
486 546
487 if ($c == 0x7f) { # also 0xff, but the firmware avoids that 547 if ($c == 0x7f) { # also 0xff, but the firmware avoids that
488 $scr .= "\e[m|\e[K\n"; 548 $scr .= "\e[m\xe2\x94\x82\e[K\n";
489 549
490 my $a1 = $M[$i + 1]; 550 my $a1 = $M[$i + 1];
491 my $a0 = $M[$i + 2]; 551 my $a0 = $M[$i + 2];
492 552
493 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff); 553 $i = 0x2000 + (($a1 * 256 + $a0) & 0xfff);
494 554
495 next line; 555 next line;
496 } 556 }
497 557
498 my $sgr = $sgr[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)]; 558 my $sgr = $SGR[ ($M[$i++ + 0x1000] & 15) | ($c & 0x80)];
499
500 # ~1 sgr 5 blink
501 # ~2 sgr 4 underline
502 # ~4 sgr 1 bold
503 # 0x80 in attr, sgr 7, reversed
504 559
505 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr; 560 $scr .= $prev_sgr = $sgr if $sgr ne $prev_sgr;
506 561
507 $scr .= $chr[$c & 0x7f]; 562 $scr .= $CHARMAP[$c & 0x7f];
508 } 563 }
509 564
510 $scr .= "\e[K\nvideo overflow\e[K\n"; 565 $scr .= "\e[K\nvideo overflow\e[K\n";
511 last; 566 last;
512 } 567 }
513 568
514 $scr .= "\e[m"; 569 $scr .= "\e[m\e[J";
515
516 if (0) {
517 $scr .= "\e[K\n";
518 for my $o (0x200 .. 0x232) {
519 $scr .= sprintf "%04x:", $o * 16;
520 for (0..15) {
521 $scr .= sprintf " %02x", $M[$o * 16 + $_];
522 }
523 $scr .= "\e[K\n";
524 }
525 }
526
527 $scr .= "\e[J";
528 570
529 syswrite STDOUT, $scr; 571 syswrite STDOUT, $scr;
530} 572}
531 573
532############################################################################# 574#############################################################################
533 575# keyboard handling
534if (@ARGV) {
535 require IO::Pty;
536 $PTY = IO::Pty->new;
537
538 my $slave = $PTY->slave;
539
540 $PTY->set_winsize (24, 80);
541
542 unless (fork) {
543 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
544
545 close $PTY;
546
547 open STDIN , "<&", $slave;
548 open STDOUT, ">&", $slave;
549 open STDERR, ">&", $slave;
550
551 system "stty ixoff erase ^H";
552
553 $PTY->make_slave_controlling_terminal;
554 $PTY->close_slave;
555
556 exec @ARGV;
557 }
558
559 $PTY->close_slave;
560
561} else {
562 open $PTY, "</dev/null" or die;#d
563}
564
565#############################################################################
566
567# initial key input, to set up online mode etc.
568@KQUEUE = (
569 0x7b, -0x7b, # setup
570 0, # delay
571 0x28, -0x28, # 4, toggle local/online
572 0x38, -0x38, # 5, setup b
573 0, # delay
574 (0x10, -0x10) x 2, # cursor right
575 0x37, -0x37, # 6 toggle soft scroll
576 (0x10, -0x10) x 1, # cursor right
577 0x37, -0x37, # 6 toggle autorepeat off
578 (0x10, -0x10) x 8, # cursor right
579 0x37, -0x37, # 6 toggle keyclick
580 (0x10, -0x10) x 1, # cursor right
581 $VT102 ? () : (0x37, -0x37), # 6 toggle ansi/vt52
582 (0x10, -0x10) x 7, # cursor right
583 0x37, -0x37, # 6 toggle wrap around
584 0x7b, -0x7b, # leave setup
585);
586
587#############################################################################
588 576
589# 0x080 shift, 0x100 ctrl 577# 0x080 shift, 0x100 ctrl
590my %KEYMAP = ( 578my %KEYMAP = (
591 # these get overwritten by generic control codes, but both work, so i have no priority
592 # tid fix these.
593 "\t" => 0x3a, 579 "\t" => 0x3a,
594 "\r" => 0x64, 580 "\r" => 0x64,
595 "\n" => 0x44, 581 "\n" => 0x44,
596 582
597 "\x00" => 0x77 | 0x100, # CTRL-SPACE 583 "\x00" => 0x77 | 0x100, # CTRL-SPACE
622 "\e[11~" => 0x42, # F2 608 "\e[11~" => 0x42, # F2
623 "\e[11~" => 0x31, # F3 609 "\e[11~" => 0x31, # F3
624 "\e[11~" => 0x41, # F4 610 "\e[11~" => 0x41, # F4
625); 611);
626 612
627@KEYMAP{map chr, 0x20..0x40} = unpack "C*", pack "H*", 613@KEYMAP{map chr, 0x20 .. 0x40, 0x5b .. 0x7e} = unpack "C*", pack "H*",
628 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9"; 614 "779ad5a9a8b8a755a6b5b6b466256575" . "351a3929283837273626d656e634e5f5" . "b9" # 20..40
629
630@KEYMAP{map chr, 0x5b .. 0x7e} = unpack "C*", pack "H*",
631 "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; 615 . "154514b7a5" . "244a6879591949485816574746766706" . "050a185a0817780969077a95c594a4"; # 5b..7e
632 616
633$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl 617$KEYMAP{"\x1f" & $_} ||= $KEYMAP{$_} | 0x100 for "a" .. "z"; # ctrl
634$KEYMAP{uc $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift 618$KEYMAP{"\x20" ^ $_} ||= $KEYMAP{$_} | 0x080 for "a" .. "z"; # shift
635 619
636my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP; 620my $KEYMATCH = join "|", map quotemeta, reverse sort keys %KEYMAP;
637$KEYMATCH = qr{^($KEYMATCH)}s; 621$KEYMATCH = qr{^($KEYMATCH)}s;
638 622
623my %KMOD;
624
639sub key { 625sub key {
640 my ($key) = @_; 626 my ($key) = @_;
641 627
642 state %MOD;
643
644 push @KQUEUE, -0x7c if !($key & 0x100) && delete $MOD{0x7c}; # ctrl-up 628 push @KQUEUE, -0x7c if !($key & 0x100) && delete $KMOD{0x7c}; # ctrl-up
645 push @KQUEUE, -0x7d if !($key & 0x080) && delete $MOD{0x7d}; # shift-up 629 push @KQUEUE, -0x7d if !($key & 0x080) && delete $KMOD{0x7d}; # shift-up
646 630
647 push @KQUEUE, 0x7c if $key & 0x100 && !$MOD{0x7c}++; # ctrl-down 631 push @KQUEUE, 0x7c if $key & 0x100 && !$KMOD{0x7c}++; # ctrl-down
648 push @KQUEUE, 0x7d if $key & 0x080 && !$MOD{0x7d}++; # shift-down 632 push @KQUEUE, 0x7d if $key & 0x080 && !$KMOD{0x7d}++; # shift-down
649 633
650 $key &= 0x7f; 634 $key &= 0x7f;
651 push @KQUEUE, $key, -$key; 635 push @KQUEUE, $key, -$key;
652} 636}
653 637
666 eval q{ sub END { system "stty sane" } }; 650 eval q{ sub END { system "stty sane" } };
667 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 }; 651 $SIG{INT} = $SIG{TERM} = $SIG{QUIT} = sub { exit 1 };
668} 652}
669 653
670############################################################################# 654#############################################################################
655# initial key input, to set up online mode etc.
656# could be done via nvram defaults
657
658@KQUEUE = (
659 0x7b, -0x7b, # setup
660 0, # delay
661 0x28, -0x28, # 4, toggle local/online
662 0x38, -0x38, # 5, setup b
663 0, # delay
664 (0x10, -0x10) x 2, # cursor right
665 0x37, -0x37, # 6 toggle soft scroll
666 (0x10, -0x10) x 1, # cursor right
667 0x37, -0x37, # 6 toggle autorepeat off
668 (0x10, -0x10) x 8, # cursor right
669 0x37, -0x37, # 6 toggle keyclick
670 (0x10, -0x10) x 1, # cursor right
671 $VT102 ? () : (0x37, -0x37), # 6 toggle ansi/vt52
672 (0x10, -0x10) x 7, # cursor right
673 0x37, -0x37, # 6 toggle wrap around
674 0x7b, -0x7b, # leave setup
675);
676
677#############################################################################
678# process/pty management
679
680require IO::Pty;
681$PTY = IO::Pty->new;
682
683my $slave = $PTY->slave;
684
685$PTY->set_winsize (24, 80);
686
687unless (fork) {
688 $ENV{TERM} = $VT102 ? "vt102" : "vt100";
689
690 close $PTY;
691
692 open STDIN , "<&", $slave;
693 open STDOUT, ">&", $slave;
694 open STDERR, ">&", $slave;
695
696 system "stty ixoff erase ^H";
697
698 $PTY->make_slave_controlling_terminal;
699 $PTY->close_slave;
700
701 @ARGV = "sh" unless @ARGV;
702 exec @ARGV;
703}
704
705$PTY->close_slave;
706
707#############################################################################
708# the actual hardware simulator
671 709
672my @ICACHE; # compiled instruction cache 710my @ICACHE; # compiled instruction cache
673 711
674# the cpu
675while () { 712while () {
676
677 # execute extended basic blocks 713 # execute extended basic blocks
678 $PC = ($ICACHE[$PC] ||= do { 714 $PC = ($ICACHE[$PC] ||= do {
679 my $pc = $PC; 715 my $pc = $PC;
680 716
681 my $insn = ""; 717 my $insn = "";
682 718
683 # the jit compiler 719 # the jit compiler
684 for (0..15) { 720 for (0..31) {
685
686 # optional tracing support
687 if (0) {
688 $insn .= qq<
689 if (\$PRSTATUS) {
690 status $pc;
691 die unless --\$PRSTATUS;
692 }
693 >;
694 }
695
696 my $imm; 721 my $imm;
697 my $op = $op[$M[$pc++]]; 722 my $op = $op[$M[$pc++]];
698 723
699 for ($op) { 724 for ($op) {
700 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack 725 s/\bPUSH\b/\$M[--\$SP] =/g; # push byte to stack
713 738
714 $insn .= "$op;\n"; 739 $insn .= "$op;\n";
715 } 740 }
716 741
717 742
718 $insn .= "$pc"; 743 $insn .= $pc;
719 $insn =~ s/\x00.*$//s; 744 $insn =~ s/\x00.*$//s;
720 745
721 eval "use integer; sub { $insn }" or die "$insn: $@" 746 eval "use integer; sub { $insn }" or die "$insn: $@"
722 })->(); 747 })->();
723 748
725 750
726 # things we do from time too time only 751 # things we do from time too time only
727 unless ($CLK & 0xf) { 752 unless ($CLK & 0xf) {
728 # do I/O 753 # do I/O
729 754
730 unless ($CLK & 0x7ff) { 755 unless ($CLK & 0xfff) {
731 756
732 # pty/serial I/O 757 # pty/serial I/O
733 unless (@PUSARTRECV || @KQUEUE || !$PTY) { 758 unless ((@PUSARTRECV >= 128) || @KQUEUE || !$PTY) {
734 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1; 759 my $rin = ""; (vec $rin, fileno $PTY, 1) = 1;
735 760
736 if (select $rin, undef, undef, 0) { 761 if (select $rin, undef, undef, 0) {
737 sysread $PTY, my $buf, 256; 762 sysread $PTY, my $buf, 256;
738 push @PUSARTRECV, unpack "C*", $buf; 763 push @PUSARTRECV, unpack "C*", $buf;
759 unless ($CLK & 0x1ff) { 784 unless ($CLK & 0x1ff) {
760 $RST |= 4; # vertical retrace 785 $RST |= 4; # vertical retrace
761 } 786 }
762 787
763 # handle video hardware 788 # handle video hardware
764
765 unless ($CLK & 0x1fff) { 789 unless ($CLK & 0x3fff) {
766 prscr; 790 display;
767 } 791 }
768 } 792 }
769 793
770 # the interrupt logic 794 # the interrupt logic
771 $x = $INTPEND & ~$INTMASK; 795 if (($RST || ($INTPEND & ~$INTMASK)) && $IFF) {
772 if (($RST || $x) && $IFF) {
773 # rst 1 kbd data available 796 # rst 1 kbd data available
774 # rst 2 pusart xmit+recv flag 797 # rst 2 pusart xmit+recv flag
775 # rst 4 vertical retrace 798 # rst 4 vertical retrace
776 # 5.5 vt125 mb7 trans ready (serial send?) 799 # 5.5 vt125 mb7 trans ready (serial send?)
777 # 6.5 vt125 mb7 read ready (something modem?) 800 # 6.5 vt125 mb7 read ready (something modem?)
778 # 7.5 vt125 mb7 vblank h(?) 801 # 7.5 vt125 mb7 vblank h(?)
779 # trap vt125 mbi init h(?) 802 # trap vt125 mbi init h(?)
780 my $vec; 803 my $vec;
781 804
805 $x = $INTPEND & ~$INTMASK;
806
782 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1; 807 if ($x & 1) { $vec = 0x2c; $INTPEND &= ~1;
783 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2; 808 } elsif ($x & 2) { $vec = 0x34; $INTPEND &= ~2;
784 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4; 809 } elsif ($x & 4) { $vec = 0x3c; $INTPEND &= ~4;
785# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # for some reason, this breaks vt102 810# } elsif ($RST ) { $vec = $RST * 8; $RST = 0; # the vt102 firmware doesn't like combined interrupts
786 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102 811 } elsif ($RST & 1) { $vec = 0x08; $RST &= ~1; # separate is better for vt102
787 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2; 812 } elsif ($RST & 2) { $vec = 0x10; $RST &= ~2;
788 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4; 813 } elsif ($RST & 4) { $vec = 0x20; $RST &= ~4;
789 } else { 814 } else {
790 die; 815 die;
795 $PC = $vec; 820 $PC = $vec;
796 821
797 $IFF = 0; 822 $IFF = 0;
798 } 823 }
799} 824}
825
826#############################################################################
827# roms in the data section + one newline
828#
829# vt100 @ 0x0000+0x0800 23-032E2
830# vt100 @ 0x0800+0x0800 23-061E2
831# vt100 @ 0x1000+0x0800 23-033E2
832# vt100 @ 0x1800+0x0800 23-034E2
833#
834# vt102 @ 0x0000+0x2000 23-226E4
835# vt102 @ 0x8000+0x2000 23-225E4
836#
837# vt131 @ 0xa000+0x0800 23-280E2
838#
800 839
801__DATA__ 840__DATA__
8021N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6 8411N ;0>b/BWog<Gӂ,O$ O[xI,ڥ#€€yOtͤ[zW>/2!b>>g$>% !h w-!h >-4!j pO:{ y:! u:x!_yA[>y >yA[>?y@ :x!žyA[P>>O[>>[Î:!ʵyA>>OlyAPÇ!:!S!h ~ ~ : O͓: Ô!20!2!!!yAG~"&=w< w:!/!!A:!Ey2!~1N ! ~eBi<2!͢:P =2S!~6ʘ!!6
8032!0* w4ʘ> 2! ~î!N ̓/2!! "R !""  8422!0* w4ʘ> 2! ~î!N ̓/2!! "R !"" 
804! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u> 843! ͋!2[ 2v!!"I!>2s >2 B>2y >2!2!&l" >@:X!:!͔>2!b:!K>G:| !p ^!p" :!x:! u>
908 947
909.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ 948.y' &%&5>LGxWdkͨwͨ/w!e!6#}vnͨwͨ ڐWzʁÚ#}v>‡ :,!ڢy2!:!OW!e!oһ$zW>0)1!2@3#4$5%6^7&8*9(-_=+`~[{]};:/?'",<.>\| poytwq][iure1`-9743=08652 Ѱ
910\lkgfa';jhds .,nbx* :B!4 949\lkgfa';jhds .,nbx* :B!4
911!O!,ͳʄmÝ 950!O!,ͳʄmÝ
912! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N  951! 0>2ͳʥ†">02I y>c}" 2. y2N!~2/ z#ͫ:/ w:N!O:/ O#:. <õ!N!蝾:&0> 2 !{!>!q{" ÎWaitp!O!'6#'M_! 0R_:B!7N 
9138>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv 9528>2\0͍2\0:y!ʟ25!24!̞D!;!~G6̞:70xˆ!F!~ɞ˞w:5!ڞ:4!ឯ͆;:<!Bʾ:B!.ڇ!!~#;:!;D!!"!F#ux‡2A0:{!4R*|!x7fÇ:%0H:l :5!S*6!:4!k!wf##]~#fo:K 2\0 )şZşG>2\0:70ʫx!z!«!F!~ w!B0~w:40x·!l 62\0+2\012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv^y5k6!
953"~ACŠ>Bw2"!z"pv"x">Cw2"!n"pv"l"!!~< N[>2,!NAp##!(0S{}ˠ>w# »xE##ö6T]#zpw#sX6#N|p}Hpv"x"7:
954"C*C!(0:!@W ==}wï2C!2D!z5*b Q!{!| gH:d G| gW]>6#k<|eoozW>Ê

Diff Legend

Removed lines
+ Added lines
< Changed lines
> Changed lines