ViewVC Help
View File | Revision Log | Show Annotations | Download File
/cvs/Coro/Coro/State.xs
Revision: 1.422
Committed: Sat Oct 6 21:25:24 2012 UTC (11 years, 7 months ago) by root
Branch: MAIN
CVS Tags: rel-6_09
Changes since 1.421: +5 -2 lines
Log Message:
6.09

File Contents

# User Rev Content
1 root 1.381 /* this works around a bug in mingw32 providing a non-working setjmp */
2     #define USE_NO_MINGW_SETJMP_TWO_ARGS
3    
4 root 1.374 #define NDEBUG 1
5    
6 root 1.63 #include "libcoro/coro.c"
7    
8 root 1.146 #define PERL_NO_GET_CONTEXT
9 root 1.198 #define PERL_EXT
10 root 1.146
11 root 1.1 #include "EXTERN.h"
12     #include "perl.h"
13     #include "XSUB.h"
14 root 1.246 #include "perliol.h"
15 root 1.1
16 root 1.363 #include "schmorp.h"
17 root 1.404 #include "ecb.h"
18 pcg 1.46
19 root 1.403 #include <stddef.h>
20 root 1.127 #include <stdio.h>
21     #include <errno.h>
22     #include <assert.h>
23 root 1.231
24 root 1.374 #ifndef SVs_PADSTALE
25     # define SVs_PADSTALE 0
26     #endif
27    
28 root 1.389 #if defined(_WIN32)
29 root 1.388 # undef HAS_GETTIMEOFDAY
30 root 1.231 # undef setjmp
31     # undef longjmp
32     # undef _exit
33 root 1.302 # define setjmp _setjmp /* deep magic */
34 root 1.231 #else
35     # include <inttypes.h> /* most portable stdint.h */
36     #endif
37 root 1.127
38 root 1.410 #if HAVE_MMAP
39 root 1.127 # include <unistd.h>
40     # include <sys/mman.h>
41     # ifndef MAP_ANONYMOUS
42     # ifdef MAP_ANON
43     # define MAP_ANONYMOUS MAP_ANON
44     # else
45     # undef HAVE_MMAP
46     # endif
47     # endif
48     # include <limits.h>
49     # ifndef PAGESIZE
50     # define PAGESIZE pagesize
51     # define BOOT_PAGESIZE pagesize = sysconf (_SC_PAGESIZE)
52     static long pagesize;
53     # else
54     # define BOOT_PAGESIZE (void)0
55     # endif
56     #else
57     # define PAGESIZE 0
58     # define BOOT_PAGESIZE (void)0
59     #endif
60    
61 root 1.143 #if CORO_USE_VALGRIND
62 root 1.104 # include <valgrind/valgrind.h>
63     #endif
64    
65 root 1.115 /* the maximum number of idle cctx that will be pooled */
66 root 1.254 static int cctx_max_idle = 4;
67 root 1.115
68 root 1.414 #if defined(DEBUGGING) && PERL_VERSION_ATLEAST(5,12,0)
69     # define HAS_SCOPESTACK_NAME 1
70     #endif
71    
72 root 1.78 #if !__i386 && !__x86_64 && !__powerpc && !__m68k && !__alpha && !__mips && !__sparc64
73 root 1.143 # undef CORO_STACKGUARD
74 root 1.78 #endif
75    
76 root 1.143 #ifndef CORO_STACKGUARD
77     # define CORO_STACKGUARD 0
78 root 1.78 #endif
79    
80 root 1.127 /* prefer perl internal functions over our own? */
81 root 1.143 #ifndef CORO_PREFER_PERL_FUNCTIONS
82     # define CORO_PREFER_PERL_FUNCTIONS 0
83 root 1.3 #endif
84    
85 root 1.158 /* The next macros try to return the current stack pointer, in an as
86     * portable way as possible. */
87 root 1.268 #if __GNUC__ >= 4
88 root 1.294 # define dSTACKLEVEL int stacklevel_dummy
89     # define STACKLEVEL __builtin_frame_address (0)
90 root 1.268 #else
91 root 1.294 # define dSTACKLEVEL volatile void *stacklevel
92     # define STACKLEVEL ((void *)&stacklevel)
93 root 1.268 #endif
94 root 1.15
95 root 1.331 #define IN_DESTRUCT PL_dirty
96 root 1.34
97 root 1.23 #include "CoroAPI.h"
98 root 1.297 #define GCoroAPI (&coroapi) /* very sneaky */
99 root 1.23
100 pcg 1.55 #ifdef USE_ITHREADS
101 root 1.256 # if CORO_PTHREAD
102     static void *coro_thx;
103     # endif
104 pcg 1.55 #endif
105    
106 root 1.358 #ifdef __linux
107     # include <time.h> /* for timespec */
108     # include <syscall.h> /* for SYS_* */
109     # ifdef SYS_clock_gettime
110     # define coro_clock_gettime(id, ts) syscall (SYS_clock_gettime, (id), (ts))
111     # define CORO_CLOCK_MONOTONIC 1
112     # define CORO_CLOCK_THREAD_CPUTIME_ID 3
113     # endif
114     #endif
115    
116 root 1.246 static double (*nvtime)(); /* so why doesn't it take void? */
117 root 1.360 static void (*u2time)(pTHX_ UV ret[2]);
118 root 1.246
119 root 1.290 /* we hijack an hopefully unused CV flag for our purposes */
120     #define CVf_SLF 0x4000
121     static OP *pp_slf (pTHX);
122 root 1.397 static void slf_destroy (pTHX_ struct coro *coro);
123 root 1.290
124 root 1.254 static U32 cctx_gen;
125     static size_t cctx_stacksize = CORO_STACKSIZE;
126 root 1.23 static struct CoroAPI coroapi;
127 pcg 1.56 static AV *main_mainstack; /* used to differentiate between $main and others */
128 root 1.148 static JMPENV *main_top_env;
129 root 1.88 static HV *coro_state_stash, *coro_stash;
130 root 1.252 static volatile SV *coro_mortal; /* will be freed/thrown after next transfer */
131 root 1.260
132 root 1.318 static AV *av_destroy; /* destruction queue */
133     static SV *sv_manager; /* the manager coro */
134 root 1.326 static SV *sv_idle; /* $Coro::idle */
135 root 1.318
136 root 1.189 static GV *irsgv; /* $/ */
137     static GV *stdoutgv; /* *STDOUT */
138 root 1.214 static SV *rv_diehook;
139     static SV *rv_warnhook;
140 root 1.200 static HV *hv_sig; /* %SIG */
141 root 1.178
142 root 1.159 /* async_pool helper stuff */
143     static SV *sv_pool_rss;
144     static SV *sv_pool_size;
145 root 1.318 static SV *sv_async_pool_idle; /* description string */
146     static AV *av_async_pool; /* idle pool */
147     static SV *sv_Coro; /* class string */
148 root 1.312 static CV *cv_pool_handler;
149 root 1.159
150 root 1.233 /* Coro::AnyEvent */
151     static SV *sv_activity;
152    
153 root 1.359 /* enable processtime/realtime profiling */
154 root 1.360 static char enable_times;
155 root 1.359 typedef U32 coro_ts[2];
156 root 1.360 static coro_ts time_real, time_cpu;
157     static char times_valid;
158 root 1.359
159 root 1.211 static struct coro_cctx *cctx_first;
160     static int cctx_count, cctx_idle;
161 root 1.107
162 root 1.399 enum
163     {
164 root 1.167 CC_MAPPED = 0x01,
165     CC_NOREUSE = 0x02, /* throw this away after tracing */
166     CC_TRACE = 0x04,
167     CC_TRACE_SUB = 0x08, /* trace sub calls */
168     CC_TRACE_LINE = 0x10, /* trace each statement */
169     CC_TRACE_ALL = CC_TRACE_SUB | CC_TRACE_LINE,
170 root 1.165 };
171    
172 root 1.92 /* this is a structure representing a c-level coroutine */
173 root 1.272 typedef struct coro_cctx
174     {
175 root 1.106 struct coro_cctx *next;
176 root 1.15
177 root 1.93 /* the stack */
178 root 1.15 void *sptr;
179 root 1.145 size_t ssize;
180 root 1.89
181     /* cpu state */
182 root 1.132 void *idle_sp; /* sp of top-level transfer/schedule/cede call */
183 root 1.416 #ifndef NDEBUG
184     JMPENV *idle_te; /* same as idle_sp, but for top_env */
185     #endif
186 root 1.93 JMPENV *top_env;
187 root 1.89 coro_context cctx;
188 root 1.104
189 root 1.254 U32 gen;
190 root 1.143 #if CORO_USE_VALGRIND
191 root 1.104 int valgrind_id;
192     #endif
193 root 1.165 unsigned char flags;
194 root 1.106 } coro_cctx;
195 root 1.15
196 root 1.393 static coro_cctx *cctx_current; /* the currently running cctx */
197 root 1.322
198     /*****************************************************************************/
199    
200 root 1.399 static MGVTBL coro_state_vtbl;
201    
202     enum
203     {
204 root 1.133 CF_RUNNING = 0x0001, /* coroutine is running */
205     CF_READY = 0x0002, /* coroutine is ready */
206     CF_NEW = 0x0004, /* has never been switched to */
207 root 1.400 CF_ZOMBIE = 0x0008, /* coroutine data has been freed */
208 root 1.341 CF_SUSPENDED = 0x0010, /* coroutine can't be scheduled */
209 root 1.393 CF_NOCANCEL = 0x0020, /* cannot cancel, set slf_frame.data to 1 (hackish) */
210 root 1.111 };
211    
212 root 1.198 /* the structure where most of the perl state is stored, overlaid on the cxstack */
213 root 1.272 typedef struct
214     {
215 root 1.419 #define VARx(name,expr,type) type name;
216     #include "state.h"
217 root 1.198 } perl_slots;
218    
219 root 1.410 /* how many context stack entries do we need for perl_slots */
220 root 1.198 #define SLOT_COUNT ((sizeof (perl_slots) + sizeof (PERL_CONTEXT) - 1) / sizeof (PERL_CONTEXT))
221    
222 root 1.92 /* this is a structure representing a perl-level coroutine */
223 root 1.399 struct coro
224     {
225 root 1.272 /* the C coroutine allocated to this perl coroutine, if any */
226 root 1.106 coro_cctx *cctx;
227 root 1.92
228 root 1.347 /* ready queue */
229     struct coro *next_ready;
230    
231 root 1.285 /* state data */
232 root 1.273 struct CoroSLF slf_frame; /* saved slf frame */
233 root 1.198 AV *mainstack;
234     perl_slots *slot; /* basically the saved sp */
235    
236 root 1.399 CV *startcv; /* the CV to execute */
237     AV *args; /* data associated with this coroutine (initial args) */
238     int flags; /* CF_ flags */
239     HV *hv; /* the perl hash associated with this coro, if any */
240 root 1.92
241 root 1.172 /* statistics */
242 root 1.181 int usecount; /* number of transfers to this coro */
243 root 1.172
244 root 1.87 /* coro process data */
245     int prio;
246 root 1.399 SV *except; /* exception to be thrown */
247     SV *rouse_cb; /* last rouse callback */
248     AV *on_destroy; /* callbacks or coros to notify on destroy */
249     AV *status; /* the exit status list */
250 root 1.181
251     /* async_pool */
252 root 1.184 SV *saved_deffh;
253 root 1.312 SV *invoke_cb;
254     AV *invoke_av;
255 root 1.151
256 root 1.339 /* on_enter/on_leave */
257     AV *on_enter;
258     AV *on_leave;
259    
260 root 1.374 /* swap_sv */
261     AV *swap_sv;
262    
263 root 1.360 /* times */
264     coro_ts t_cpu, t_real;
265    
266 root 1.151 /* linked list */
267     struct coro *next, *prev;
268 root 1.1 };
269    
270     typedef struct coro *Coro__State;
271     typedef struct coro *Coro__State_or_hashref;
272    
273 root 1.285 /* the following variables are effectively part of the perl context */
274     /* and get copied between struct coro and these variables */
275 root 1.393 /* the main reason we don't support windows process emulation */
276 root 1.273 static struct CoroSLF slf_frame; /* the current slf frame */
277 root 1.272
278 root 1.137 /** Coro ********************************************************************/
279    
280 root 1.358 #define CORO_PRIO_MAX 3
281     #define CORO_PRIO_HIGH 1
282     #define CORO_PRIO_NORMAL 0
283     #define CORO_PRIO_LOW -1
284     #define CORO_PRIO_IDLE -3
285     #define CORO_PRIO_MIN -4
286 root 1.137
287     /* for Coro.pm */
288     static SV *coro_current;
289 root 1.233 static SV *coro_readyhook;
290 root 1.358 static struct coro *coro_ready [CORO_PRIO_MAX - CORO_PRIO_MIN + 1][2]; /* head|tail */
291 root 1.397 static CV *cv_coro_run;
292 root 1.153 static struct coro *coro_first;
293 root 1.270 #define coro_nready coroapi.nready
294 root 1.137
295 root 1.405 /** JIT *********************************************************************/
296    
297     #if CORO_JIT
298 root 1.410 /* APPLE doesn't have HAVE_MMAP though */
299     #define CORO_JIT_UNIXY (__linux || __FreeBSD__ || __OpenBSD__ || __NetBSD__ || __solaris || __APPLE__)
300 root 1.405 #ifndef CORO_JIT_TYPE
301 root 1.410 #if __x86_64 && CORO_JIT_UNIXY
302 root 1.405 #define CORO_JIT_TYPE "amd64-unix"
303 root 1.410 #elif __i386 && CORO_JIT_UNIXY
304 root 1.407 #define CORO_JIT_TYPE "x86-unix"
305 root 1.405 #endif
306     #endif
307     #endif
308    
309 root 1.410 #if !defined(CORO_JIT_TYPE) || !HAVE_MMAP
310     #undef CORO_JIT
311     #endif
312    
313 root 1.405 #if CORO_JIT
314 root 1.410 typedef void (*load_save_perl_slots_type)(perl_slots *);
315     static load_save_perl_slots_type load_perl_slots, save_perl_slots;
316 root 1.405 #endif
317    
318 root 1.364 /** Coro::Select ************************************************************/
319    
320     static OP *(*coro_old_pp_sselect) (pTHX);
321     static SV *coro_select_select;
322    
323     /* horrible hack, but if it works... */
324     static OP *
325 root 1.371 coro_pp_sselect (pTHX)
326 root 1.364 {
327     dSP;
328     PUSHMARK (SP - 4); /* fake argument list */
329     XPUSHs (coro_select_select);
330     PUTBACK;
331    
332     /* entersub is an UNOP, select a LISTOP... keep your fingers crossed */
333     PL_op->op_flags |= OPf_STACKED;
334     PL_op->op_private = 0;
335     return PL_ppaddr [OP_ENTERSUB](aTHX);
336     }
337    
338 root 1.386 /** time stuff **************************************************************/
339    
340     #ifdef HAS_GETTIMEOFDAY
341    
342 root 1.413 ecb_inline void
343 root 1.387 coro_u2time (pTHX_ UV ret[2])
344 root 1.386 {
345     struct timeval tv;
346     gettimeofday (&tv, 0);
347    
348     ret [0] = tv.tv_sec;
349     ret [1] = tv.tv_usec;
350     }
351    
352 root 1.413 ecb_inline double
353 root 1.410 coro_nvtime (void)
354 root 1.386 {
355     struct timeval tv;
356     gettimeofday (&tv, 0);
357    
358     return tv.tv_sec + tv.tv_usec * 1e-6;
359     }
360    
361 root 1.413 ecb_inline void
362 root 1.388 time_init (pTHX)
363 root 1.386 {
364     nvtime = coro_nvtime;
365     u2time = coro_u2time;
366     }
367    
368     #else
369    
370 root 1.413 ecb_inline void
371 root 1.388 time_init (pTHX)
372 root 1.386 {
373     SV **svp;
374    
375 root 1.388 require_pv ("Time/HiRes.pm");
376 root 1.386
377     svp = hv_fetch (PL_modglobal, "Time::NVtime", 12, 0);
378    
379 root 1.392 if (!svp) croak ("Time::HiRes is required, but missing. Caught");
380     if (!SvIOK (*svp)) croak ("Time::NVtime isn't a function pointer. Caught");
381 root 1.386
382     nvtime = INT2PTR (double (*)(), SvIV (*svp));
383    
384     svp = hv_fetch (PL_modglobal, "Time::U2time", 12, 0);
385     u2time = INT2PTR (void (*)(pTHX_ UV ret[2]), SvIV (*svp));
386     }
387    
388     #endif
389    
390 root 1.137 /** lowlevel stuff **********************************************************/
391    
392 root 1.404 static SV * ecb_noinline
393 root 1.213 coro_get_sv (pTHX_ const char *name, int create)
394 root 1.199 {
395 root 1.228 #if PERL_VERSION_ATLEAST (5,10,0)
396 root 1.199 /* silence stupid and wrong 5.10 warning that I am unable to switch off */
397     get_sv (name, create);
398     #endif
399     return get_sv (name, create);
400     }
401    
402 root 1.404 static AV * ecb_noinline
403 root 1.213 coro_get_av (pTHX_ const char *name, int create)
404 root 1.199 {
405 root 1.228 #if PERL_VERSION_ATLEAST (5,10,0)
406 root 1.199 /* silence stupid and wrong 5.10 warning that I am unable to switch off */
407     get_av (name, create);
408     #endif
409     return get_av (name, create);
410     }
411    
412 root 1.404 static HV * ecb_noinline
413 root 1.213 coro_get_hv (pTHX_ const char *name, int create)
414 root 1.200 {
415 root 1.228 #if PERL_VERSION_ATLEAST (5,10,0)
416 root 1.200 /* silence stupid and wrong 5.10 warning that I am unable to switch off */
417     get_hv (name, create);
418     #endif
419     return get_hv (name, create);
420     }
421    
422 root 1.413 ecb_inline void
423 root 1.410 coro_times_update (void)
424 root 1.360 {
425     #ifdef coro_clock_gettime
426     struct timespec ts;
427    
428     ts.tv_sec = ts.tv_nsec = 0;
429     coro_clock_gettime (CORO_CLOCK_THREAD_CPUTIME_ID, &ts);
430     time_cpu [0] = ts.tv_sec; time_cpu [1] = ts.tv_nsec;
431    
432     ts.tv_sec = ts.tv_nsec = 0;
433     coro_clock_gettime (CORO_CLOCK_MONOTONIC, &ts);
434     time_real [0] = ts.tv_sec; time_real [1] = ts.tv_nsec;
435     #else
436 root 1.361 dTHX;
437 root 1.360 UV tv[2];
438    
439 root 1.362 u2time (aTHX_ tv);
440 root 1.360 time_real [0] = tv [0];
441     time_real [1] = tv [1] * 1000;
442     #endif
443     }
444    
445 root 1.413 ecb_inline void
446 root 1.360 coro_times_add (struct coro *c)
447     {
448     c->t_real [1] += time_real [1];
449     if (c->t_real [1] > 1000000000) { c->t_real [1] -= 1000000000; ++c->t_real [0]; }
450     c->t_real [0] += time_real [0];
451    
452     c->t_cpu [1] += time_cpu [1];
453     if (c->t_cpu [1] > 1000000000) { c->t_cpu [1] -= 1000000000; ++c->t_cpu [0]; }
454     c->t_cpu [0] += time_cpu [0];
455     }
456    
457 root 1.413 ecb_inline void
458 root 1.360 coro_times_sub (struct coro *c)
459     {
460     if (c->t_real [1] < time_real [1]) { c->t_real [1] += 1000000000; --c->t_real [0]; }
461     c->t_real [1] -= time_real [1];
462     c->t_real [0] -= time_real [0];
463    
464     if (c->t_cpu [1] < time_cpu [1]) { c->t_cpu [1] += 1000000000; --c->t_cpu [0]; }
465     c->t_cpu [1] -= time_cpu [1];
466     c->t_cpu [0] -= time_cpu [0];
467     }
468    
469 root 1.332 /*****************************************************************************/
470     /* magic glue */
471    
472     #define CORO_MAGIC_type_cv 26
473     #define CORO_MAGIC_type_state PERL_MAGIC_ext
474    
475 root 1.404 #define CORO_MAGIC_NN(sv, type) \
476     (ecb_expect_true (SvMAGIC (sv)->mg_type == type) \
477     ? SvMAGIC (sv) \
478 root 1.332 : mg_find (sv, type))
479    
480 root 1.404 #define CORO_MAGIC(sv, type) \
481     (ecb_expect_true (SvMAGIC (sv)) \
482     ? CORO_MAGIC_NN (sv, type) \
483 root 1.332 : 0)
484    
485     #define CORO_MAGIC_cv(cv) CORO_MAGIC (((SV *)(cv)), CORO_MAGIC_type_cv)
486     #define CORO_MAGIC_state(sv) CORO_MAGIC_NN (((SV *)(sv)), CORO_MAGIC_type_state)
487    
488 root 1.413 ecb_inline MAGIC *
489 root 1.399 SvSTATEhv_p (pTHX_ SV *coro)
490     {
491     MAGIC *mg;
492    
493 root 1.404 if (ecb_expect_true (
494 root 1.399 SvTYPE (coro) == SVt_PVHV
495     && (mg = CORO_MAGIC_state (coro))
496     && mg->mg_virtual == &coro_state_vtbl
497     ))
498     return mg;
499    
500     return 0;
501     }
502    
503 root 1.413 ecb_inline struct coro *
504 root 1.332 SvSTATE_ (pTHX_ SV *coro)
505     {
506     MAGIC *mg;
507    
508     if (SvROK (coro))
509     coro = SvRV (coro);
510    
511 root 1.402 mg = SvSTATEhv_p (aTHX_ coro);
512 root 1.399 if (!mg)
513 root 1.332 croak ("Coro::State object required");
514    
515     return (struct coro *)mg->mg_ptr;
516     }
517    
518     #define SvSTATE(sv) SvSTATE_ (aTHX_ (sv))
519    
520     /* faster than SvSTATE, but expects a coroutine hv */
521     #define SvSTATE_hv(hv) ((struct coro *)CORO_MAGIC_NN ((SV *)hv, CORO_MAGIC_type_state)->mg_ptr)
522     #define SvSTATE_current SvSTATE_hv (SvRV (coro_current))
523    
524     /*****************************************************************************/
525     /* padlist management and caching */
526    
527 root 1.413 ecb_inline AV *
528 root 1.321 coro_derive_padlist (pTHX_ CV *cv)
529 root 1.77 {
530     AV *padlist = CvPADLIST (cv);
531     AV *newpadlist, *newpad;
532 root 1.3
533     newpadlist = newAV ();
534     AvREAL_off (newpadlist);
535 root 1.228 #if PERL_VERSION_ATLEAST (5,10,0)
536 root 1.100 Perl_pad_push (aTHX_ padlist, AvFILLp (padlist) + 1);
537     #else
538 root 1.77 Perl_pad_push (aTHX_ padlist, AvFILLp (padlist) + 1, 1);
539 root 1.79 #endif
540 root 1.77 newpad = (AV *)AvARRAY (padlist)[AvFILLp (padlist)];
541     --AvFILLp (padlist);
542 root 1.3
543 root 1.332 av_store (newpadlist, 0, SvREFCNT_inc_NN (AvARRAY (padlist)[0]));
544 root 1.77 av_store (newpadlist, 1, (SV *)newpad);
545 root 1.3
546     return newpadlist;
547     }
548    
549 root 1.413 ecb_inline void
550 root 1.146 free_padlist (pTHX_ AV *padlist)
551 root 1.3 {
552     /* may be during global destruction */
553 root 1.331 if (!IN_DESTRUCT)
554 root 1.3 {
555 pcg 1.50 I32 i = AvFILLp (padlist);
556 root 1.331
557 root 1.332 while (i > 0) /* special-case index 0 */
558 root 1.3 {
559 root 1.331 /* we try to be extra-careful here */
560     AV *av = (AV *)AvARRAY (padlist)[i--];
561 root 1.332 I32 j = AvFILLp (av);
562 root 1.331
563 root 1.332 while (j >= 0)
564     SvREFCNT_dec (AvARRAY (av)[j--]);
565 root 1.331
566     AvFILLp (av) = -1;
567     SvREFCNT_dec (av);
568 root 1.3 }
569    
570 root 1.332 SvREFCNT_dec (AvARRAY (padlist)[0]);
571    
572 root 1.331 AvFILLp (padlist) = -1;
573 pcg 1.50 SvREFCNT_dec ((SV*)padlist);
574     }
575     }
576    
577 root 1.77 static int
578 pcg 1.54 coro_cv_free (pTHX_ SV *sv, MAGIC *mg)
579 pcg 1.50 {
580     AV *padlist;
581     AV *av = (AV *)mg->mg_obj;
582    
583 root 1.358 /* perl manages to free our internal AV and _then_ call us */
584     if (IN_DESTRUCT)
585 root 1.362 return 0;
586 root 1.358
587 pcg 1.50 /* casting is fun. */
588     while (&PL_sv_undef != (SV *)(padlist = (AV *)av_pop (av)))
589 root 1.146 free_padlist (aTHX_ padlist);
590 root 1.61
591 root 1.245 SvREFCNT_dec (av); /* sv_magicext increased the refcount */
592 root 1.244
593 root 1.76 return 0;
594 root 1.3 }
595 pcg 1.50
596 root 1.214 static MGVTBL coro_cv_vtbl = {
597     0, 0, 0, 0,
598     coro_cv_free
599     };
600 root 1.3
601 root 1.7 /* the next two functions merely cache the padlists */
602 root 1.413 ecb_inline void
603 root 1.146 get_padlist (pTHX_ CV *cv)
604 root 1.3 {
605 root 1.214 MAGIC *mg = CORO_MAGIC_cv (cv);
606 root 1.109 AV *av;
607 root 1.4
608 root 1.404 if (ecb_expect_true (mg && AvFILLp ((av = (AV *)mg->mg_obj)) >= 0))
609 root 1.109 CvPADLIST (cv) = (AV *)AvARRAY (av)[AvFILLp (av)--];
610 root 1.4 else
611 root 1.77 {
612 root 1.143 #if CORO_PREFER_PERL_FUNCTIONS
613 root 1.244 /* this is probably cleaner? but also slower! */
614     /* in practise, it seems to be less stable */
615 root 1.305 CV *cp = Perl_cv_clone (aTHX_ cv);
616 root 1.77 CvPADLIST (cv) = CvPADLIST (cp);
617     CvPADLIST (cp) = 0;
618     SvREFCNT_dec (cp);
619     #else
620 root 1.321 CvPADLIST (cv) = coro_derive_padlist (aTHX_ cv);
621 root 1.77 #endif
622     }
623 root 1.4 }
624    
625 root 1.413 ecb_inline void
626 root 1.146 put_padlist (pTHX_ CV *cv)
627 root 1.4 {
628 root 1.214 MAGIC *mg = CORO_MAGIC_cv (cv);
629 root 1.109 AV *av;
630 root 1.7
631 root 1.404 if (ecb_expect_false (!mg))
632 root 1.214 mg = sv_magicext ((SV *)cv, (SV *)newAV (), CORO_MAGIC_type_cv, &coro_cv_vtbl, 0, 0);
633 root 1.7
634 root 1.109 av = (AV *)mg->mg_obj;
635    
636 root 1.404 if (ecb_expect_false (AvFILLp (av) >= AvMAX (av)))
637 root 1.330 av_extend (av, AvFILLp (av) + 1);
638 root 1.109
639     AvARRAY (av)[++AvFILLp (av)] = (SV *)CvPADLIST (cv);
640 root 1.7 }
641    
642 root 1.137 /** load & save, init *******************************************************/
643    
644 root 1.416 ecb_inline void
645     swap_sv (SV *a, SV *b)
646 root 1.374 {
647 root 1.416 const U32 keep = SVs_PADSTALE | SVs_PADTMP | SVs_PADMY; /* keep these flags */
648     SV tmp;
649 root 1.374
650 root 1.416 /* swap sv_any */
651     SvANY (&tmp) = SvANY (a); SvANY (a) = SvANY (b); SvANY (b) = SvANY (&tmp);
652 root 1.374
653 root 1.416 /* swap sv_flags */
654     SvFLAGS (&tmp) = SvFLAGS (a);
655     SvFLAGS (a) = (SvFLAGS (a) & keep) | (SvFLAGS (b ) & ~keep);
656     SvFLAGS (b) = (SvFLAGS (b) & keep) | (SvFLAGS (&tmp) & ~keep);
657 root 1.374
658 root 1.416 #if PERL_VERSION_ATLEAST (5,10,0)
659     /* perl 5.10 and later complicates this _quite_ a bit, but it also
660     * is much faster, so no quarrels here. alternatively, we could
661     * sv_upgrade to avoid this.
662     */
663     {
664     /* swap sv_u */
665     tmp.sv_u = a->sv_u; a->sv_u = b->sv_u; b->sv_u = tmp.sv_u;
666 root 1.374
667 root 1.416 /* if SvANY points to the head, we need to adjust the pointers,
668     * as the pointer for a still points to b, and maybe vice versa.
669     */
670     #define svany_in_head(type) \
671     (((1 << SVt_NULL) | (1 << SVt_BIND) | (1 << SVt_IV) | (1 << SVt_RV)) & (1 << (type)))
672 root 1.374
673 root 1.416 if (svany_in_head (SvTYPE (a)))
674     SvANY (a) = (void *)((PTRV)SvANY (a) - (PTRV)b + (PTRV)a);
675 root 1.374
676 root 1.416 if (svany_in_head (SvTYPE (b)))
677     SvANY (b) = (void *)((PTRV)SvANY (b) - (PTRV)a + (PTRV)b);
678     }
679     #endif
680     }
681 root 1.374
682 root 1.416 /* swap sv heads, at least logically */
683     static void
684     swap_svs (pTHX_ Coro__State c)
685     {
686     int i;
687 root 1.374
688 root 1.416 for (i = 0; i <= AvFILLp (c->swap_sv); i += 2)
689     swap_sv (AvARRAY (c->swap_sv)[i], AvARRAY (c->swap_sv)[i + 1]);
690 root 1.374 }
691    
692 root 1.404 #define SWAP_SVS(coro) \
693     if (ecb_expect_false ((coro)->swap_sv)) \
694 root 1.374 swap_svs (aTHX_ (coro))
695    
696 root 1.7 static void
697 root 1.339 on_enterleave_call (pTHX_ SV *cb);
698    
699     static void
700 root 1.146 load_perl (pTHX_ Coro__State c)
701 root 1.7 {
702 root 1.198 perl_slots *slot = c->slot;
703     c->slot = 0;
704    
705     PL_mainstack = c->mainstack;
706 root 1.7
707 root 1.405 #if CORO_JIT
708     load_perl_slots (slot);
709     #else
710     #define VARx(name,expr,type) expr = slot->name;
711 root 1.419 #include "state.h"
712 root 1.405 #endif
713 root 1.7
714     {
715     dSP;
716 root 1.198
717 root 1.7 CV *cv;
718    
719     /* now do the ugly restore mess */
720 root 1.404 while (ecb_expect_true (cv = (CV *)POPs))
721 root 1.7 {
722 root 1.146 put_padlist (aTHX_ cv); /* mark this padlist as available */
723 root 1.109 CvDEPTH (cv) = PTR2IV (POPs);
724     CvPADLIST (cv) = (AV *)POPs;
725 root 1.7 }
726    
727     PUTBACK;
728     }
729 root 1.272
730 root 1.285 slf_frame = c->slf_frame;
731 root 1.297 CORO_THROW = c->except;
732 root 1.339
733 root 1.404 if (ecb_expect_false (enable_times))
734 root 1.360 {
735 root 1.404 if (ecb_expect_false (!times_valid))
736 root 1.360 coro_times_update ();
737    
738     coro_times_sub (c);
739     }
740    
741 root 1.404 if (ecb_expect_false (c->on_enter))
742 root 1.339 {
743     int i;
744    
745     for (i = 0; i <= AvFILLp (c->on_enter); ++i)
746 root 1.340 on_enterleave_call (aTHX_ AvARRAY (c->on_enter)[i]);
747 root 1.339 }
748 root 1.374
749     SWAP_SVS (c);
750 root 1.7 }
751    
752 root 1.3 static void
753 root 1.146 save_perl (pTHX_ Coro__State c)
754 root 1.3 {
755 root 1.374 SWAP_SVS (c);
756    
757 root 1.404 if (ecb_expect_false (c->on_leave))
758 root 1.339 {
759     int i;
760    
761     for (i = AvFILLp (c->on_leave); i >= 0; --i)
762 root 1.340 on_enterleave_call (aTHX_ AvARRAY (c->on_leave)[i]);
763 root 1.339 }
764    
765 root 1.360 times_valid = 0;
766    
767 root 1.404 if (ecb_expect_false (enable_times))
768 root 1.360 {
769     coro_times_update (); times_valid = 1;
770     coro_times_add (c);
771     }
772    
773 root 1.297 c->except = CORO_THROW;
774 root 1.272 c->slf_frame = slf_frame;
775    
776 root 1.3 {
777     dSP;
778     I32 cxix = cxstack_ix;
779 root 1.11 PERL_CONTEXT *ccstk = cxstack;
780 root 1.3 PERL_SI *top_si = PL_curstackinfo;
781    
782     /*
783     * the worst thing you can imagine happens first - we have to save
784     * (and reinitialize) all cv's in the whole callchain :(
785     */
786    
787 root 1.157 XPUSHs (Nullsv);
788 root 1.3 /* this loop was inspired by pp_caller */
789     for (;;)
790     {
791 root 1.404 while (ecb_expect_true (cxix >= 0))
792 root 1.3 {
793 root 1.4 PERL_CONTEXT *cx = &ccstk[cxix--];
794 root 1.3
795 root 1.404 if (ecb_expect_true (CxTYPE (cx) == CXt_SUB) || ecb_expect_false (CxTYPE (cx) == CXt_FORMAT))
796 root 1.3 {
797     CV *cv = cx->blk_sub.cv;
798 root 1.109
799 root 1.404 if (ecb_expect_true (CvDEPTH (cv)))
800 root 1.3 {
801 root 1.377 EXTEND (SP, 3);
802 root 1.117 PUSHs ((SV *)CvPADLIST (cv));
803 root 1.233 PUSHs (INT2PTR (SV *, (IV)CvDEPTH (cv)));
804 root 1.3 PUSHs ((SV *)cv);
805    
806 root 1.109 CvDEPTH (cv) = 0;
807 root 1.146 get_padlist (aTHX_ cv);
808 root 1.3 }
809     }
810     }
811    
812 root 1.404 if (ecb_expect_true (top_si->si_type == PERLSI_MAIN))
813 root 1.3 break;
814    
815     top_si = top_si->si_prev;
816 root 1.158 ccstk = top_si->si_cxstack;
817     cxix = top_si->si_cxix;
818 root 1.3 }
819    
820     PUTBACK;
821     }
822    
823 root 1.198 /* allocate some space on the context stack for our purposes */
824 root 1.411 if (ecb_expect_false (cxstack_ix + (int)SLOT_COUNT >= cxstack_max))
825 root 1.398 {
826     unsigned int i;
827    
828     for (i = 0; i < SLOT_COUNT; ++i)
829     CXINC;
830    
831     cxstack_ix -= SLOT_COUNT; /* undo allocation */
832     }
833 root 1.198
834     c->mainstack = PL_mainstack;
835    
836     {
837     perl_slots *slot = c->slot = (perl_slots *)(cxstack + cxstack_ix + 1);
838    
839 root 1.405 #if CORO_JIT
840     save_perl_slots (slot);
841     #else
842     #define VARx(name,expr,type) slot->name = expr;
843 root 1.419 #include "state.h"
844 root 1.405 #endif
845 root 1.198 }
846 root 1.13 }
847    
848     /*
849 root 1.272 * allocate various perl stacks. This is almost an exact copy
850 root 1.13 * of perl.c:init_stacks, except that it uses less memory
851 pcg 1.52 * on the (sometimes correct) assumption that coroutines do
852     * not usually need a lot of stackspace.
853 root 1.13 */
854 root 1.204 #if CORO_PREFER_PERL_FUNCTIONS
855 root 1.305 # define coro_init_stacks(thx) init_stacks ()
856 root 1.126 #else
857 root 1.77 static void
858 root 1.146 coro_init_stacks (pTHX)
859 root 1.13 {
860 root 1.398 PL_curstackinfo = new_stackinfo(32, 4 + SLOT_COUNT); /* 3 is minimum due to perl rounding down in scope.c:GROW() */
861 root 1.13 PL_curstackinfo->si_type = PERLSI_MAIN;
862     PL_curstack = PL_curstackinfo->si_stack;
863     PL_mainstack = PL_curstack; /* remember in case we switch stacks */
864    
865     PL_stack_base = AvARRAY(PL_curstack);
866     PL_stack_sp = PL_stack_base;
867     PL_stack_max = PL_stack_base + AvMAX(PL_curstack);
868    
869 root 1.198 New(50,PL_tmps_stack,32,SV*);
870 root 1.13 PL_tmps_floor = -1;
871     PL_tmps_ix = -1;
872 root 1.198 PL_tmps_max = 32;
873 root 1.13
874 root 1.154 New(54,PL_markstack,16,I32);
875 root 1.13 PL_markstack_ptr = PL_markstack;
876 root 1.154 PL_markstack_max = PL_markstack + 16;
877 root 1.13
878 pcg 1.46 #ifdef SET_MARK_OFFSET
879 root 1.13 SET_MARK_OFFSET;
880 pcg 1.46 #endif
881 root 1.13
882 root 1.198 New(54,PL_scopestack,8,I32);
883 root 1.13 PL_scopestack_ix = 0;
884 root 1.198 PL_scopestack_max = 8;
885 root 1.414 #if HAS_SCOPESTACK_NAME
886     New(54,PL_scopestack_name,8,const char*);
887     #endif
888 root 1.13
889 root 1.198 New(54,PL_savestack,24,ANY);
890 root 1.13 PL_savestack_ix = 0;
891 root 1.198 PL_savestack_max = 24;
892 root 1.13
893 root 1.228 #if !PERL_VERSION_ATLEAST (5,10,0)
894 root 1.156 New(54,PL_retstack,4,OP*);
895 root 1.13 PL_retstack_ix = 0;
896 root 1.156 PL_retstack_max = 4;
897 root 1.71 #endif
898 root 1.3 }
899 root 1.127 #endif
900 root 1.1
901 root 1.7 /*
902     * destroy the stacks, the callchain etc...
903     */
904 root 1.77 static void
905 root 1.253 coro_destruct_stacks (pTHX)
906 root 1.1 {
907 root 1.4 while (PL_curstackinfo->si_next)
908     PL_curstackinfo = PL_curstackinfo->si_next;
909    
910     while (PL_curstackinfo)
911     {
912     PERL_SI *p = PL_curstackinfo->si_prev;
913    
914 root 1.34 if (!IN_DESTRUCT)
915 root 1.126 SvREFCNT_dec (PL_curstackinfo->si_stack);
916 root 1.7
917 pcg 1.57 Safefree (PL_curstackinfo->si_cxstack);
918     Safefree (PL_curstackinfo);
919 root 1.4 PL_curstackinfo = p;
920     }
921    
922 pcg 1.57 Safefree (PL_tmps_stack);
923     Safefree (PL_markstack);
924     Safefree (PL_scopestack);
925 root 1.414 #if HAS_SCOPESTACK_NAME
926     Safefree (PL_scopestack_name);
927     #endif
928 pcg 1.57 Safefree (PL_savestack);
929 root 1.228 #if !PERL_VERSION_ATLEAST (5,10,0)
930 pcg 1.57 Safefree (PL_retstack);
931 root 1.71 #endif
932 root 1.1 }
933    
934 root 1.312 #define CORO_RSS \
935     rss += sizeof (SYM (curstackinfo)); \
936     rss += (SYM (curstackinfo->si_cxmax) + 1) * sizeof (PERL_CONTEXT); \
937     rss += sizeof (SV) + sizeof (struct xpvav) + (1 + AvMAX (SYM (curstack))) * sizeof (SV *); \
938     rss += SYM (tmps_max) * sizeof (SV *); \
939     rss += (SYM (markstack_max) - SYM (markstack_ptr)) * sizeof (I32); \
940     rss += SYM (scopestack_max) * sizeof (I32); \
941     rss += SYM (savestack_max) * sizeof (ANY);
942    
943 root 1.152 static size_t
944 root 1.171 coro_rss (pTHX_ struct coro *coro)
945 root 1.152 {
946 root 1.183 size_t rss = sizeof (*coro);
947 root 1.152
948     if (coro->mainstack)
949     {
950 root 1.153 if (coro->flags & CF_RUNNING)
951     {
952 root 1.312 #define SYM(sym) PL_ ## sym
953     CORO_RSS;
954     #undef SYM
955 root 1.153 }
956 root 1.198 else
957 root 1.245 {
958 root 1.312 #define SYM(sym) coro->slot->sym
959     CORO_RSS;
960     #undef SYM
961 root 1.245 }
962 root 1.152 }
963    
964     return rss;
965     }
966    
967 root 1.137 /** coroutine stack handling ************************************************/
968    
969 root 1.214 static int (*orig_sigelem_get) (pTHX_ SV *sv, MAGIC *mg);
970 root 1.220 static int (*orig_sigelem_set) (pTHX_ SV *sv, MAGIC *mg);
971 root 1.239 static int (*orig_sigelem_clr) (pTHX_ SV *sv, MAGIC *mg);
972 root 1.214
973 root 1.238 /* apparently < 5.8.8 */
974     #ifndef MgPV_nolen_const
975     #define MgPV_nolen_const(mg) (((((int)(mg)->mg_len)) == HEf_SVKEY) ? \
976 root 1.241 SvPV_nolen((SV*)((mg)->mg_ptr)) : \
977 root 1.238 (const char*)(mg)->mg_ptr)
978     #endif
979    
980 root 1.214 /*
981     * This overrides the default magic get method of %SIG elements.
982     * The original one doesn't provide for reading back of PL_diehook/PL_warnhook
983 root 1.401 * and instead of trying to save and restore the hash elements (extremely slow),
984     * we just provide our own readback here.
985 root 1.214 */
986 root 1.404 static int ecb_cold
987 root 1.214 coro_sigelem_get (pTHX_ SV *sv, MAGIC *mg)
988     {
989     const char *s = MgPV_nolen_const (mg);
990    
991     if (*s == '_')
992     {
993 root 1.239 SV **svp = 0;
994    
995     if (strEQ (s, "__DIE__" )) svp = &PL_diehook;
996     if (strEQ (s, "__WARN__")) svp = &PL_warnhook;
997    
998     if (svp)
999     {
1000 root 1.415 SV *ssv;
1001    
1002     if (!*svp)
1003     ssv = &PL_sv_undef;
1004     else if (SvTYPE (*svp) == SVt_PVCV) /* perlio directly stores a CV in warnhook. ugh. */
1005     ssv = sv_2mortal (newRV_inc (*svp));
1006     else
1007     ssv = *svp;
1008    
1009     sv_setsv (sv, ssv);
1010 root 1.239 return 0;
1011     }
1012 root 1.214 }
1013    
1014 root 1.220 return orig_sigelem_get ? orig_sigelem_get (aTHX_ sv, mg) : 0;
1015     }
1016    
1017 root 1.404 static int ecb_cold
1018 root 1.239 coro_sigelem_clr (pTHX_ SV *sv, MAGIC *mg)
1019     {
1020     const char *s = MgPV_nolen_const (mg);
1021    
1022     if (*s == '_')
1023     {
1024     SV **svp = 0;
1025    
1026     if (strEQ (s, "__DIE__" )) svp = &PL_diehook;
1027     if (strEQ (s, "__WARN__")) svp = &PL_warnhook;
1028    
1029     if (svp)
1030     {
1031     SV *old = *svp;
1032     *svp = 0;
1033     SvREFCNT_dec (old);
1034     return 0;
1035     }
1036     }
1037    
1038     return orig_sigelem_clr ? orig_sigelem_clr (aTHX_ sv, mg) : 0;
1039     }
1040    
1041 root 1.404 static int ecb_cold
1042 root 1.220 coro_sigelem_set (pTHX_ SV *sv, MAGIC *mg)
1043     {
1044     const char *s = MgPV_nolen_const (mg);
1045    
1046     if (*s == '_')
1047     {
1048     SV **svp = 0;
1049    
1050     if (strEQ (s, "__DIE__" )) svp = &PL_diehook;
1051     if (strEQ (s, "__WARN__")) svp = &PL_warnhook;
1052    
1053     if (svp)
1054     {
1055     SV *old = *svp;
1056 root 1.338 *svp = SvOK (sv) ? newSVsv (sv) : 0;
1057 root 1.220 SvREFCNT_dec (old);
1058 root 1.231 return 0;
1059 root 1.220 }
1060     }
1061    
1062     return orig_sigelem_set ? orig_sigelem_set (aTHX_ sv, mg) : 0;
1063 root 1.214 }
1064    
1065 root 1.13 static void
1066 root 1.277 prepare_nop (pTHX_ struct coro_transfer_args *ta)
1067 root 1.272 {
1068     /* kind of mega-hacky, but works */
1069     ta->next = ta->prev = (struct coro *)ta;
1070     }
1071    
1072     static int
1073 root 1.277 slf_check_nop (pTHX_ struct CoroSLF *frame)
1074 root 1.272 {
1075     return 0;
1076     }
1077    
1078 root 1.318 static int
1079     slf_check_repeat (pTHX_ struct CoroSLF *frame)
1080     {
1081     return 1;
1082     }
1083    
1084 root 1.374 static UNOP init_perl_op;
1085 root 1.290
1086 root 1.404 ecb_noinline static void /* noinline to keep it out of the transfer fast path */
1087 root 1.374 init_perl (pTHX_ struct coro *coro)
1088 root 1.13 {
1089 root 1.89 /*
1090     * emulate part of the perl startup here.
1091     */
1092 root 1.146 coro_init_stacks (aTHX);
1093 root 1.15
1094 root 1.169 PL_runops = RUNOPS_DEFAULT;
1095 root 1.117 PL_curcop = &PL_compiling;
1096     PL_in_eval = EVAL_NULL;
1097 root 1.142 PL_comppad = 0;
1098 root 1.327 PL_comppad_name = 0;
1099     PL_comppad_name_fill = 0;
1100     PL_comppad_name_floor = 0;
1101 root 1.117 PL_curpm = 0;
1102 root 1.196 PL_curpad = 0;
1103 root 1.117 PL_localizing = 0;
1104     PL_restartop = 0;
1105 root 1.229 #if PERL_VERSION_ATLEAST (5,10,0)
1106 root 1.228 PL_parser = 0;
1107     #endif
1108 root 1.327 PL_hints = 0;
1109 root 1.214
1110     /* recreate the die/warn hooks */
1111 root 1.401 PL_diehook = SvREFCNT_inc (rv_diehook);
1112     PL_warnhook = SvREFCNT_inc (rv_warnhook);
1113 root 1.178
1114 root 1.191 GvSV (PL_defgv) = newSV (0);
1115 root 1.178 GvAV (PL_defgv) = coro->args; coro->args = 0;
1116 root 1.191 GvSV (PL_errgv) = newSV (0);
1117 root 1.180 GvSV (irsgv) = newSVpvn ("\n", 1); sv_magic (GvSV (irsgv), (SV *)irsgv, PERL_MAGIC_sv, "/", 0);
1118 root 1.327 GvHV (PL_hintgv) = 0;
1119 root 1.179 PL_rs = newSVsv (GvSV (irsgv));
1120 root 1.249 PL_defoutgv = (GV *)SvREFCNT_inc_NN (stdoutgv);
1121 root 1.103
1122 root 1.102 {
1123     dSP;
1124 root 1.260 UNOP myop;
1125 root 1.102
1126 root 1.260 Zero (&myop, 1, UNOP);
1127 root 1.294 myop.op_next = Nullop;
1128 root 1.308 myop.op_type = OP_ENTERSUB;
1129 root 1.102 myop.op_flags = OPf_WANT_VOID;
1130 root 1.89
1131 root 1.102 PUSHMARK (SP);
1132 root 1.308 PUSHs ((SV *)coro->startcv);
1133 root 1.102 PUTBACK;
1134 root 1.120 PL_op = (OP *)&myop;
1135 root 1.102 PL_op = PL_ppaddr[OP_ENTERSUB](aTHX);
1136 root 1.117 }
1137 root 1.210
1138     /* this newly created coroutine might be run on an existing cctx which most
1139 root 1.272 * likely was suspended in pp_slf, so we have to emulate entering pp_slf here.
1140 root 1.210 */
1141 root 1.272 slf_frame.prepare = prepare_nop; /* provide a nop function for an eventual pp_slf */
1142     slf_frame.check = slf_check_nop; /* signal pp_slf to not repeat */
1143 root 1.399 slf_frame.destroy = 0;
1144 root 1.285
1145 root 1.290 /* and we have to provide the pp_slf op in any case, so pp_slf can skip it */
1146 root 1.374 init_perl_op.op_next = PL_op;
1147     init_perl_op.op_type = OP_ENTERSUB;
1148     init_perl_op.op_ppaddr = pp_slf;
1149 root 1.308 /* no flags etc. required, as an init function won't be called */
1150 root 1.290
1151 root 1.374 PL_op = (OP *)&init_perl_op;
1152 root 1.290
1153 root 1.374 /* copy throw, in case it was set before init_perl */
1154 root 1.297 CORO_THROW = coro->except;
1155 root 1.360
1156 root 1.374 SWAP_SVS (coro);
1157    
1158 root 1.404 if (ecb_expect_false (enable_times))
1159 root 1.360 {
1160     coro_times_update ();
1161     coro_times_sub (coro);
1162     }
1163 root 1.13 }
1164    
1165     static void
1166 root 1.337 coro_unwind_stacks (pTHX)
1167 root 1.178 {
1168     if (!IN_DESTRUCT)
1169     {
1170     /* restore all saved variables and stuff */
1171     LEAVE_SCOPE (0);
1172     assert (PL_tmps_floor == -1);
1173    
1174     /* free all temporaries */
1175     FREETMPS;
1176     assert (PL_tmps_ix == -1);
1177    
1178     /* unwind all extra stacks */
1179     POPSTACK_TO (PL_mainstack);
1180    
1181     /* unwind main stack */
1182     dounwind (-1);
1183     }
1184 root 1.337 }
1185    
1186     static void
1187 root 1.374 destroy_perl (pTHX_ struct coro *coro)
1188 root 1.337 {
1189 root 1.350 SV *svf [9];
1190 root 1.178
1191 root 1.350 {
1192 root 1.397 SV *old_current = SvRV (coro_current);
1193     struct coro *current = SvSTATE (old_current);
1194 root 1.350
1195     assert (("FATAL: tried to destroy currently running coroutine", coro->mainstack != PL_mainstack));
1196    
1197     save_perl (aTHX_ current);
1198 root 1.397
1199     /* this will cause transfer_check to croak on block*/
1200     SvRV_set (coro_current, (SV *)coro->hv);
1201    
1202 root 1.350 load_perl (aTHX_ coro);
1203 root 1.352
1204 root 1.350 coro_unwind_stacks (aTHX);
1205    
1206 root 1.374 /* restore swapped sv's */
1207     SWAP_SVS (coro);
1208    
1209 root 1.399 coro_destruct_stacks (aTHX);
1210    
1211 root 1.410 /* now save some sv's to be free'd later */
1212 root 1.351 svf [0] = GvSV (PL_defgv);
1213     svf [1] = (SV *)GvAV (PL_defgv);
1214     svf [2] = GvSV (PL_errgv);
1215     svf [3] = (SV *)PL_defoutgv;
1216     svf [4] = PL_rs;
1217     svf [5] = GvSV (irsgv);
1218     svf [6] = (SV *)GvHV (PL_hintgv);
1219     svf [7] = PL_diehook;
1220     svf [8] = PL_warnhook;
1221 root 1.350 assert (9 == sizeof (svf) / sizeof (*svf));
1222    
1223 root 1.397 SvRV_set (coro_current, old_current);
1224    
1225 root 1.350 load_perl (aTHX_ current);
1226     }
1227 root 1.178
1228 root 1.350 {
1229 root 1.362 unsigned int i;
1230 root 1.350
1231     for (i = 0; i < sizeof (svf) / sizeof (*svf); ++i)
1232     SvREFCNT_dec (svf [i]);
1233 root 1.181
1234 root 1.350 SvREFCNT_dec (coro->saved_deffh);
1235     SvREFCNT_dec (coro->rouse_cb);
1236     SvREFCNT_dec (coro->invoke_cb);
1237     SvREFCNT_dec (coro->invoke_av);
1238     }
1239 root 1.178 }
1240    
1241 root 1.413 ecb_inline void
1242 root 1.146 free_coro_mortal (pTHX)
1243 root 1.13 {
1244 root 1.404 if (ecb_expect_true (coro_mortal))
1245 root 1.15 {
1246 root 1.399 SvREFCNT_dec ((SV *)coro_mortal);
1247 root 1.89 coro_mortal = 0;
1248     }
1249 root 1.13 }
1250    
1251 root 1.165 static int
1252 root 1.169 runops_trace (pTHX)
1253 root 1.165 {
1254     COP *oldcop = 0;
1255 root 1.167 int oldcxix = -2;
1256 root 1.165
1257     while ((PL_op = CALL_FPTR (PL_op->op_ppaddr) (aTHX)))
1258     {
1259     PERL_ASYNC_CHECK ();
1260    
1261 root 1.323 if (cctx_current->flags & CC_TRACE_ALL)
1262 root 1.167 {
1263 root 1.323 if (PL_op->op_type == OP_LEAVESUB && cctx_current->flags & CC_TRACE_SUB)
1264 root 1.173 {
1265     PERL_CONTEXT *cx = &cxstack[cxstack_ix];
1266     SV **bot, **top;
1267     AV *av = newAV (); /* return values */
1268     SV **cb;
1269     dSP;
1270 root 1.167
1271 root 1.173 GV *gv = CvGV (cx->blk_sub.cv);
1272     SV *fullname = sv_2mortal (newSV (0));
1273     if (isGV (gv))
1274     gv_efullname3 (fullname, gv, 0);
1275    
1276     bot = PL_stack_base + cx->blk_oldsp + 1;
1277     top = cx->blk_gimme == G_ARRAY ? SP + 1
1278     : cx->blk_gimme == G_SCALAR ? bot + 1
1279     : bot;
1280 root 1.167
1281 root 1.193 av_extend (av, top - bot);
1282 root 1.173 while (bot < top)
1283 root 1.249 av_push (av, SvREFCNT_inc_NN (*bot++));
1284 root 1.167
1285 root 1.173 PL_runops = RUNOPS_DEFAULT;
1286 root 1.167 ENTER;
1287     SAVETMPS;
1288     EXTEND (SP, 3);
1289 root 1.173 PUSHMARK (SP);
1290     PUSHs (&PL_sv_no);
1291     PUSHs (fullname);
1292     PUSHs (sv_2mortal (newRV_noinc ((SV *)av)));
1293     PUTBACK;
1294 root 1.212 cb = hv_fetch ((HV *)SvRV (coro_current), "_trace_sub_cb", sizeof ("_trace_sub_cb") - 1, 0);
1295 root 1.173 if (cb) call_sv (*cb, G_KEEPERR | G_EVAL | G_VOID | G_DISCARD);
1296     SPAGAIN;
1297     FREETMPS;
1298     LEAVE;
1299     PL_runops = runops_trace;
1300     }
1301    
1302     if (oldcop != PL_curcop)
1303     {
1304     oldcop = PL_curcop;
1305 root 1.167
1306 root 1.173 if (PL_curcop != &PL_compiling)
1307 root 1.167 {
1308 root 1.173 SV **cb;
1309    
1310 root 1.323 if (oldcxix != cxstack_ix && cctx_current->flags & CC_TRACE_SUB)
1311 root 1.173 {
1312     PERL_CONTEXT *cx = &cxstack[cxstack_ix];
1313    
1314     if (CxTYPE (cx) == CXt_SUB && oldcxix < cxstack_ix)
1315     {
1316     dSP;
1317     GV *gv = CvGV (cx->blk_sub.cv);
1318     SV *fullname = sv_2mortal (newSV (0));
1319    
1320     if (isGV (gv))
1321     gv_efullname3 (fullname, gv, 0);
1322    
1323     PL_runops = RUNOPS_DEFAULT;
1324     ENTER;
1325     SAVETMPS;
1326     EXTEND (SP, 3);
1327     PUSHMARK (SP);
1328     PUSHs (&PL_sv_yes);
1329     PUSHs (fullname);
1330 root 1.307 PUSHs (CxHASARGS (cx) ? sv_2mortal (newRV_inc ((SV *)cx->blk_sub.argarray)) : &PL_sv_undef);
1331 root 1.173 PUTBACK;
1332 root 1.212 cb = hv_fetch ((HV *)SvRV (coro_current), "_trace_sub_cb", sizeof ("_trace_sub_cb") - 1, 0);
1333 root 1.173 if (cb) call_sv (*cb, G_KEEPERR | G_EVAL | G_VOID | G_DISCARD);
1334     SPAGAIN;
1335     FREETMPS;
1336     LEAVE;
1337     PL_runops = runops_trace;
1338     }
1339    
1340     oldcxix = cxstack_ix;
1341     }
1342 root 1.167
1343 root 1.323 if (cctx_current->flags & CC_TRACE_LINE)
1344 root 1.167 {
1345 root 1.173 dSP;
1346 root 1.167
1347 root 1.173 PL_runops = RUNOPS_DEFAULT;
1348     ENTER;
1349     SAVETMPS;
1350     EXTEND (SP, 3);
1351     PL_runops = RUNOPS_DEFAULT;
1352 root 1.167 PUSHMARK (SP);
1353 root 1.173 PUSHs (sv_2mortal (newSVpv (OutCopFILE (oldcop), 0)));
1354     PUSHs (sv_2mortal (newSViv (CopLINE (oldcop))));
1355 root 1.167 PUTBACK;
1356 root 1.212 cb = hv_fetch ((HV *)SvRV (coro_current), "_trace_line_cb", sizeof ("_trace_line_cb") - 1, 0);
1357 root 1.169 if (cb) call_sv (*cb, G_KEEPERR | G_EVAL | G_VOID | G_DISCARD);
1358 root 1.167 SPAGAIN;
1359 root 1.173 FREETMPS;
1360     LEAVE;
1361     PL_runops = runops_trace;
1362 root 1.167 }
1363 root 1.169 }
1364 root 1.167 }
1365 root 1.165 }
1366     }
1367    
1368     TAINT_NOT;
1369     return 0;
1370     }
1371    
1372 root 1.290 static struct CoroSLF cctx_ssl_frame;
1373    
1374 root 1.260 static void
1375 root 1.290 slf_prepare_set_stacklevel (pTHX_ struct coro_transfer_args *ta)
1376 root 1.260 {
1377 root 1.322 ta->prev = 0;
1378 root 1.260 }
1379    
1380 root 1.290 static int
1381     slf_check_set_stacklevel (pTHX_ struct CoroSLF *frame)
1382     {
1383     *frame = cctx_ssl_frame;
1384    
1385 root 1.294 return frame->check (aTHX_ frame); /* execute the restored frame - there must be one */
1386 root 1.290 }
1387    
1388 root 1.294 /* initialises PL_top_env and injects a pseudo-slf-call to set the stacklevel */
1389 root 1.404 static void ecb_noinline
1390 root 1.322 cctx_prepare (pTHX)
1391 root 1.99 {
1392 root 1.165 PL_top_env = &PL_start_env;
1393    
1394 root 1.322 if (cctx_current->flags & CC_TRACE)
1395 root 1.169 PL_runops = runops_trace;
1396 root 1.165
1397 root 1.293 /* we already must be executing an SLF op, there is no other valid way
1398 root 1.290 * that can lead to creation of a new cctx */
1399     assert (("FATAL: can't prepare slf-less cctx in Coro module (please report)",
1400     slf_frame.prepare && PL_op->op_ppaddr == pp_slf));
1401    
1402 root 1.294 /* we must emulate leaving pp_slf, which is done inside slf_check_set_stacklevel */
1403 root 1.290 cctx_ssl_frame = slf_frame;
1404 root 1.102
1405 root 1.290 slf_frame.prepare = slf_prepare_set_stacklevel;
1406     slf_frame.check = slf_check_set_stacklevel;
1407 root 1.99 }
1408    
1409 root 1.418 /* the tail of transfer: execute stuff we can only do after a transfer */
1410     ecb_inline void
1411     transfer_tail (pTHX)
1412     {
1413     free_coro_mortal (aTHX);
1414     }
1415    
1416 root 1.417 /* try to exit the same way perl's main function would do */
1417     /* we do not bother resetting the environment or other things *7
1418     /* that are not, uhm, essential */
1419     /* this obviously also doesn't work when perl is embedded */
1420     static void ecb_noinline ecb_cold
1421 root 1.420 perlish_exit (pTHX)
1422 root 1.417 {
1423     int exitstatus = perl_destruct (PL_curinterp);
1424     perl_free (PL_curinterp);
1425     exit (exitstatus);
1426     }
1427    
1428 root 1.148 /*
1429     * this is a _very_ stripped down perl interpreter ;)
1430     */
1431 root 1.99 static void
1432 root 1.201 cctx_run (void *arg)
1433 root 1.13 {
1434 root 1.256 #ifdef USE_ITHREADS
1435     # if CORO_PTHREAD
1436     PERL_SET_CONTEXT (coro_thx);
1437     # endif
1438     #endif
1439     {
1440     dTHX;
1441 root 1.146
1442 root 1.272 /* normally we would need to skip the entersub here */
1443     /* not doing so will re-execute it, which is exactly what we want */
1444     /* PL_nop = PL_nop->op_next */
1445 root 1.107
1446 root 1.256 /* inject a fake subroutine call to cctx_init */
1447 root 1.322 cctx_prepare (aTHX);
1448 root 1.89
1449 root 1.260 /* cctx_run is the alternative tail of transfer() */
1450 root 1.262 transfer_tail (aTHX);
1451 root 1.259
1452 root 1.256 /* somebody or something will hit me for both perl_run and PL_restartop */
1453     PL_restartop = PL_op;
1454     perl_run (PL_curinterp);
1455 root 1.308 /*
1456     * Unfortunately, there is no way to get at the return values of the
1457 root 1.367 * coro body here, as perl_run destroys these. Likewise, we cannot catch
1458     * runtime errors here, as this is just a random interpreter, not a thread.
1459 root 1.308 */
1460 root 1.89
1461 root 1.256 /*
1462     * If perl-run returns we assume exit() was being called or the coro
1463     * fell off the end, which seems to be the only valid (non-bug)
1464 root 1.417 * reason for perl_run to return. We try to mimic whatever perl is normally
1465     * doing in that case. YMMV.
1466 root 1.256 */
1467 root 1.420 perlish_exit (aTHX);
1468 root 1.256 }
1469 root 1.89 }
1470    
1471 root 1.106 static coro_cctx *
1472 root 1.410 cctx_new (void)
1473 root 1.89 {
1474 root 1.106 coro_cctx *cctx;
1475 root 1.89
1476 root 1.107 ++cctx_count;
1477 root 1.258 New (0, cctx, 1, coro_cctx);
1478    
1479 root 1.259 cctx->gen = cctx_gen;
1480     cctx->flags = 0;
1481 root 1.260 cctx->idle_sp = 0; /* can be accessed by transfer between cctx_run and set_stacklevel, on throw */
1482 root 1.258
1483     return cctx;
1484     }
1485    
1486     /* create a new cctx only suitable as source */
1487     static coro_cctx *
1488 root 1.410 cctx_new_empty (void)
1489 root 1.258 {
1490     coro_cctx *cctx = cctx_new ();
1491 root 1.89
1492 root 1.259 cctx->sptr = 0;
1493 root 1.258 coro_create (&cctx->cctx, 0, 0, 0, 0);
1494    
1495     return cctx;
1496     }
1497    
1498     /* create a new cctx suitable as destination/running a perl interpreter */
1499     static coro_cctx *
1500 root 1.410 cctx_new_run (void)
1501 root 1.258 {
1502     coro_cctx *cctx = cctx_new ();
1503     void *stack_start;
1504     size_t stack_size;
1505 root 1.254
1506 root 1.89 #if HAVE_MMAP
1507 root 1.254 cctx->ssize = ((cctx_stacksize * sizeof (long) + PAGESIZE - 1) / PAGESIZE + CORO_STACKGUARD) * PAGESIZE;
1508 root 1.120 /* mmap supposedly does allocate-on-write for us */
1509 root 1.421 cctx->sptr = mmap (0, cctx->ssize, PROT_READ | PROT_WRITE | PROT_EXEC, MAP_ANONYMOUS, -1, 0);
1510 root 1.19
1511 root 1.141 if (cctx->sptr != (void *)-1)
1512 root 1.13 {
1513 root 1.263 #if CORO_STACKGUARD
1514     mprotect (cctx->sptr, CORO_STACKGUARD * PAGESIZE, PROT_NONE);
1515     #endif
1516     stack_start = (char *)cctx->sptr + CORO_STACKGUARD * PAGESIZE;
1517     stack_size = cctx->ssize - CORO_STACKGUARD * PAGESIZE;
1518 root 1.165 cctx->flags |= CC_MAPPED;
1519 root 1.141 }
1520     else
1521     #endif
1522 root 1.89 {
1523 root 1.254 cctx->ssize = cctx_stacksize * (long)sizeof (long);
1524     New (0, cctx->sptr, cctx_stacksize, long);
1525 root 1.13
1526 root 1.141 if (!cctx->sptr)
1527     {
1528 root 1.264 perror ("FATAL: unable to allocate stack for coroutine, exiting.");
1529 root 1.141 _exit (EXIT_FAILURE);
1530     }
1531 root 1.89
1532 root 1.145 stack_start = cctx->sptr;
1533     stack_size = cctx->ssize;
1534     }
1535 root 1.104
1536 root 1.263 #if CORO_USE_VALGRIND
1537     cctx->valgrind_id = VALGRIND_STACK_REGISTER ((char *)stack_start, (char *)stack_start + stack_size);
1538     #endif
1539    
1540 root 1.201 coro_create (&cctx->cctx, cctx_run, (void *)cctx, stack_start, stack_size);
1541 root 1.13
1542 root 1.106 return cctx;
1543 root 1.13 }
1544    
1545 root 1.15 static void
1546 root 1.115 cctx_destroy (coro_cctx *cctx)
1547 root 1.15 {
1548 root 1.106 if (!cctx)
1549 root 1.89 return;
1550    
1551 root 1.393 assert (("FATAL: tried to destroy current cctx", cctx != cctx_current));
1552 root 1.322
1553 root 1.107 --cctx_count;
1554 root 1.253 coro_destroy (&cctx->cctx);
1555 root 1.107
1556 root 1.253 /* coro_transfer creates new, empty cctx's */
1557     if (cctx->sptr)
1558     {
1559 root 1.263 #if CORO_USE_VALGRIND
1560     VALGRIND_STACK_DEREGISTER (cctx->valgrind_id);
1561     #endif
1562 root 1.104
1563 root 1.89 #if HAVE_MMAP
1564 root 1.253 if (cctx->flags & CC_MAPPED)
1565     munmap (cctx->sptr, cctx->ssize);
1566     else
1567 root 1.89 #endif
1568 root 1.253 Safefree (cctx->sptr);
1569     }
1570 root 1.89
1571 root 1.106 Safefree (cctx);
1572 root 1.89 }
1573 root 1.32
1574 root 1.193 /* wether this cctx should be destructed */
1575 root 1.254 #define CCTX_EXPIRED(cctx) ((cctx)->gen != cctx_gen || ((cctx)->flags & CC_NOREUSE))
1576 root 1.193
1577 root 1.106 static coro_cctx *
1578 root 1.211 cctx_get (pTHX)
1579 root 1.89 {
1580 root 1.404 while (ecb_expect_true (cctx_first))
1581 root 1.89 {
1582 root 1.211 coro_cctx *cctx = cctx_first;
1583     cctx_first = cctx->next;
1584     --cctx_idle;
1585 root 1.145
1586 root 1.404 if (ecb_expect_true (!CCTX_EXPIRED (cctx)))
1587 root 1.145 return cctx;
1588    
1589     cctx_destroy (cctx);
1590 root 1.89 }
1591 root 1.91
1592 root 1.258 return cctx_new_run ();
1593 root 1.89 }
1594 root 1.19
1595 root 1.89 static void
1596 root 1.211 cctx_put (coro_cctx *cctx)
1597 root 1.89 {
1598 root 1.263 assert (("FATAL: cctx_put called on non-initialised cctx in Coro (please report)", cctx->sptr));
1599 root 1.253
1600 root 1.115 /* free another cctx if overlimit */
1601 root 1.404 if (ecb_expect_false (cctx_idle >= cctx_max_idle))
1602 root 1.115 {
1603 root 1.211 coro_cctx *first = cctx_first;
1604     cctx_first = first->next;
1605     --cctx_idle;
1606 root 1.115
1607     cctx_destroy (first);
1608     }
1609    
1610 root 1.211 ++cctx_idle;
1611     cctx->next = cctx_first;
1612     cctx_first = cctx;
1613 root 1.15 }
1614    
1615 root 1.137 /** coroutine switching *****************************************************/
1616    
1617 root 1.194 static void
1618 root 1.170 transfer_check (pTHX_ struct coro *prev, struct coro *next)
1619     {
1620 root 1.285 /* TODO: throwing up here is considered harmful */
1621    
1622 root 1.404 if (ecb_expect_true (prev != next))
1623 root 1.170 {
1624 root 1.404 if (ecb_expect_false (!(prev->flags & (CF_RUNNING | CF_NEW))))
1625 root 1.341 croak ("Coro::State::transfer called with a blocked prev Coro::State, but can only transfer from running or new states,");
1626 root 1.170
1627 root 1.404 if (ecb_expect_false (next->flags & (CF_RUNNING | CF_ZOMBIE | CF_SUSPENDED)))
1628 root 1.341 croak ("Coro::State::transfer called with running, destroyed or suspended next Coro::State, but can only transfer to inactive states,");
1629 root 1.170
1630 root 1.228 #if !PERL_VERSION_ATLEAST (5,10,0)
1631 root 1.404 if (ecb_expect_false (PL_lex_state != LEX_NOTPARSING))
1632 root 1.273 croak ("Coro::State::transfer called while parsing, but this is not supported in your perl version,");
1633 root 1.198 #endif
1634 root 1.170 }
1635     }
1636    
1637     /* always use the TRANSFER macro */
1638 root 1.404 static void ecb_noinline /* noinline so we have a fixed stackframe */
1639 root 1.227 transfer (pTHX_ struct coro *prev, struct coro *next, int force_cctx)
1640 root 1.8 {
1641 root 1.15 dSTACKLEVEL;
1642 root 1.8
1643 root 1.89 /* sometimes transfer is only called to set idle_sp */
1644 root 1.404 if (ecb_expect_false (!prev))
1645 root 1.124 {
1646 root 1.322 cctx_current->idle_sp = STACKLEVEL;
1647     assert (cctx_current->idle_te = PL_top_env); /* just for the side-effect when asserts are enabled */
1648 root 1.124 }
1649 root 1.404 else if (ecb_expect_true (prev != next))
1650 root 1.8 {
1651 root 1.322 coro_cctx *cctx_prev;
1652 root 1.89
1653 root 1.404 if (ecb_expect_false (prev->flags & CF_NEW))
1654 root 1.111 {
1655 root 1.253 /* create a new empty/source context */
1656 root 1.117 prev->flags &= ~CF_NEW;
1657     prev->flags |= CF_RUNNING;
1658 root 1.111 }
1659    
1660     prev->flags &= ~CF_RUNNING;
1661     next->flags |= CF_RUNNING;
1662    
1663 root 1.214 /* first get rid of the old state */
1664     save_perl (aTHX_ prev);
1665    
1666 root 1.404 if (ecb_expect_false (next->flags & CF_NEW))
1667 root 1.90 {
1668     /* need to start coroutine */
1669 root 1.117 next->flags &= ~CF_NEW;
1670 root 1.89 /* setup coroutine call */
1671 root 1.374 init_perl (aTHX_ next);
1672 root 1.8 }
1673 root 1.118 else
1674 root 1.214 load_perl (aTHX_ next);
1675 root 1.15
1676 root 1.261 /* possibly untie and reuse the cctx */
1677 root 1.404 if (ecb_expect_true (
1678 root 1.322 cctx_current->idle_sp == STACKLEVEL
1679     && !(cctx_current->flags & CC_TRACE)
1680 root 1.227 && !force_cctx
1681     ))
1682 root 1.92 {
1683 root 1.268 /* I assume that stacklevel is a stronger indicator than PL_top_env changes */
1684 root 1.322 assert (("FATAL: current top_env must equal previous top_env in Coro (please report)", PL_top_env == cctx_current->idle_te));
1685 root 1.117
1686 root 1.324 /* if the cctx is about to be destroyed we need to make sure we won't see it in cctx_get. */
1687     /* without this the next cctx_get might destroy the running cctx while still in use */
1688 root 1.404 if (ecb_expect_false (CCTX_EXPIRED (cctx_current)))
1689     if (ecb_expect_true (!next->cctx))
1690 root 1.211 next->cctx = cctx_get (aTHX);
1691 root 1.193
1692 root 1.322 cctx_put (cctx_current);
1693 root 1.92 }
1694 root 1.322 else
1695     prev->cctx = cctx_current;
1696 root 1.92
1697 root 1.172 ++next->usecount;
1698    
1699 root 1.322 cctx_prev = cctx_current;
1700 root 1.404 cctx_current = ecb_expect_false (next->cctx) ? next->cctx : cctx_get (aTHX);
1701 root 1.322
1702     next->cctx = 0;
1703 root 1.111
1704 root 1.404 if (ecb_expect_false (cctx_prev != cctx_current))
1705 root 1.117 {
1706 root 1.322 cctx_prev->top_env = PL_top_env;
1707     PL_top_env = cctx_current->top_env;
1708     coro_transfer (&cctx_prev->cctx, &cctx_current->cctx);
1709 root 1.92 }
1710    
1711 root 1.262 transfer_tail (aTHX);
1712 root 1.8 }
1713 root 1.39 }
1714 root 1.23
1715 root 1.227 #define TRANSFER(ta, force_cctx) transfer (aTHX_ (ta).prev, (ta).next, (force_cctx))
1716 root 1.170 #define TRANSFER_CHECK(ta) transfer_check (aTHX_ (ta).prev, (ta).next)
1717 root 1.92
1718 root 1.137 /** high level stuff ********************************************************/
1719    
1720 root 1.399 /* this function is actually Coro, not Coro::State, but we call it from here */
1721     /* because it is convenient - but it hasn't been declared yet for that reason */
1722     static void
1723     coro_call_on_destroy (pTHX_ struct coro *coro);
1724    
1725 root 1.394 static void
1726 root 1.146 coro_state_destroy (pTHX_ struct coro *coro)
1727 root 1.87 {
1728 root 1.400 if (coro->flags & CF_ZOMBIE)
1729 root 1.394 return;
1730 root 1.133
1731 root 1.397 slf_destroy (aTHX_ coro);
1732 root 1.393
1733 root 1.400 coro->flags |= CF_ZOMBIE;
1734 root 1.137
1735     if (coro->flags & CF_READY)
1736     {
1737     /* reduce nready, as destroying a ready coro effectively unreadies it */
1738 root 1.139 /* alternative: look through all ready queues and remove the coro */
1739 root 1.137 --coro_nready;
1740     }
1741     else
1742     coro->flags |= CF_READY; /* make sure it is NOT put into the readyqueue */
1743 root 1.87
1744 root 1.399 if (coro->next) coro->next->prev = coro->prev;
1745     if (coro->prev) coro->prev->next = coro->next;
1746     if (coro == coro_first) coro_first = coro->next;
1747    
1748 root 1.334 if (coro->mainstack
1749     && coro->mainstack != main_mainstack
1750 root 1.336 && coro->slot
1751 root 1.334 && !PL_dirty)
1752 root 1.374 destroy_perl (aTHX_ coro);
1753 root 1.87
1754 root 1.115 cctx_destroy (coro->cctx);
1755 root 1.307 SvREFCNT_dec (coro->startcv);
1756 root 1.87 SvREFCNT_dec (coro->args);
1757 root 1.376 SvREFCNT_dec (coro->swap_sv);
1758 root 1.308 SvREFCNT_dec (CORO_THROW);
1759 root 1.399
1760 root 1.402 coro_call_on_destroy (aTHX_ coro);
1761 root 1.399
1762     /* more destruction mayhem in coro_state_free */
1763 root 1.87 }
1764    
1765     static int
1766 root 1.134 coro_state_free (pTHX_ SV *sv, MAGIC *mg)
1767 root 1.87 {
1768     struct coro *coro = (struct coro *)mg->mg_ptr;
1769     mg->mg_ptr = 0;
1770    
1771 root 1.402 coro_state_destroy (aTHX_ coro);
1772 root 1.399 SvREFCNT_dec (coro->on_destroy);
1773     SvREFCNT_dec (coro->status);
1774 root 1.151
1775 root 1.399 Safefree (coro);
1776 root 1.133
1777 root 1.87 return 0;
1778     }
1779    
1780 root 1.404 static int ecb_cold
1781 root 1.98 coro_state_dup (pTHX_ MAGIC *mg, CLONE_PARAMS *params)
1782 root 1.87 {
1783 root 1.399 /* called when perl clones the current process the slow way (windows process emulation) */
1784     /* WE SIMply nuke the pointers in the copy, causing perl to croak */
1785     mg->mg_ptr = 0;
1786     mg->mg_virtual = 0;
1787 root 1.87
1788     return 0;
1789     }
1790    
1791 root 1.100 static MGVTBL coro_state_vtbl = {
1792     0, 0, 0, 0,
1793 root 1.134 coro_state_free,
1794 root 1.100 0,
1795     #ifdef MGf_DUP
1796     coro_state_dup,
1797 root 1.102 #else
1798     # define MGf_DUP 0
1799 root 1.100 #endif
1800     };
1801 root 1.87
1802 root 1.23 static void
1803 root 1.272 prepare_transfer (pTHX_ struct coro_transfer_args *ta, SV *prev_sv, SV *next_sv)
1804 root 1.23 {
1805 root 1.122 ta->prev = SvSTATE (prev_sv);
1806     ta->next = SvSTATE (next_sv);
1807 root 1.170 TRANSFER_CHECK (*ta);
1808 root 1.92 }
1809    
1810     static void
1811 root 1.270 api_transfer (pTHX_ SV *prev_sv, SV *next_sv)
1812 root 1.92 {
1813 root 1.272 struct coro_transfer_args ta;
1814 root 1.92
1815 root 1.146 prepare_transfer (aTHX_ &ta, prev_sv, next_sv);
1816 root 1.227 TRANSFER (ta, 1);
1817 root 1.21 }
1818    
1819 root 1.22 /** Coro ********************************************************************/
1820    
1821 root 1.413 ecb_inline void
1822 root 1.281 coro_enq (pTHX_ struct coro *coro)
1823 root 1.22 {
1824 root 1.358 struct coro **ready = coro_ready [coro->prio - CORO_PRIO_MIN];
1825 root 1.347
1826     SvREFCNT_inc_NN (coro->hv);
1827    
1828     coro->next_ready = 0;
1829     *(ready [0] ? &ready [1]->next_ready : &ready [0]) = coro;
1830     ready [1] = coro;
1831 root 1.22 }
1832    
1833 root 1.413 ecb_inline struct coro *
1834 root 1.218 coro_deq (pTHX)
1835 root 1.22 {
1836 root 1.218 int prio;
1837 root 1.22
1838 root 1.358 for (prio = CORO_PRIO_MAX - CORO_PRIO_MIN + 1; --prio >= 0; )
1839 root 1.347 {
1840     struct coro **ready = coro_ready [prio];
1841 root 1.22
1842 root 1.347 if (ready [0])
1843     {
1844     struct coro *coro = ready [0];
1845     ready [0] = coro->next_ready;
1846     return coro;
1847     }
1848     }
1849 root 1.348
1850     return 0;
1851 root 1.22 }
1852    
1853 root 1.365 static void
1854     invoke_sv_ready_hook_helper (void)
1855     {
1856     dTHX;
1857     dSP;
1858    
1859     ENTER;
1860     SAVETMPS;
1861    
1862     PUSHMARK (SP);
1863     PUTBACK;
1864     call_sv (coro_readyhook, G_VOID | G_DISCARD);
1865    
1866     FREETMPS;
1867     LEAVE;
1868     }
1869    
1870 root 1.111 static int
1871 root 1.270 api_ready (pTHX_ SV *coro_sv)
1872 root 1.23 {
1873 root 1.365 struct coro *coro = SvSTATE (coro_sv);
1874 root 1.112
1875 root 1.111 if (coro->flags & CF_READY)
1876     return 0;
1877 pcg 1.56
1878 root 1.111 coro->flags |= CF_READY;
1879 root 1.39
1880 root 1.281 coro_enq (aTHX_ coro);
1881 root 1.233
1882 root 1.365 if (!coro_nready++)
1883     if (coroapi.readyhook)
1884     coroapi.readyhook ();
1885 root 1.237
1886 root 1.111 return 1;
1887     }
1888    
1889     static int
1890 root 1.270 api_is_ready (pTHX_ SV *coro_sv)
1891 root 1.111 {
1892 root 1.130 return !!(SvSTATE (coro_sv)->flags & CF_READY);
1893 root 1.23 }
1894    
1895 root 1.316 /* expects to own a reference to next->hv */
1896 root 1.413 ecb_inline void
1897 root 1.317 prepare_schedule_to (pTHX_ struct coro_transfer_args *ta, struct coro *next)
1898 root 1.316 {
1899     SV *prev_sv = SvRV (coro_current);
1900    
1901     ta->prev = SvSTATE_hv (prev_sv);
1902     ta->next = next;
1903    
1904     TRANSFER_CHECK (*ta);
1905    
1906 root 1.317 SvRV_set (coro_current, (SV *)next->hv);
1907 root 1.316
1908     free_coro_mortal (aTHX);
1909     coro_mortal = prev_sv;
1910     }
1911    
1912     static void
1913 root 1.272 prepare_schedule (pTHX_ struct coro_transfer_args *ta)
1914 root 1.23 {
1915 root 1.88 for (;;)
1916     {
1917 root 1.347 struct coro *next = coro_deq (aTHX);
1918 root 1.88
1919 root 1.404 if (ecb_expect_true (next))
1920 root 1.317 {
1921     /* cannot transfer to destroyed coros, skip and look for next */
1922 root 1.404 if (ecb_expect_false (next->flags & (CF_ZOMBIE | CF_SUSPENDED)))
1923 root 1.347 SvREFCNT_dec (next->hv); /* coro_nready has already been taken care of by destroy */
1924 root 1.317 else
1925     {
1926     next->flags &= ~CF_READY;
1927     --coro_nready;
1928    
1929 root 1.319 prepare_schedule_to (aTHX_ ta, next);
1930     break;
1931 root 1.317 }
1932     }
1933     else
1934 root 1.133 {
1935 root 1.316 /* nothing to schedule: call the idle handler */
1936 root 1.326 if (SvROK (sv_idle)
1937     && SvOBJECT (SvRV (sv_idle)))
1938     {
1939 root 1.378 if (SvRV (sv_idle) == SvRV (coro_current))
1940     croak ("FATAL: $Coro::IDLE blocked itself - did you try to block inside an event loop callback? Caught");
1941    
1942 root 1.326 ++coro_nready; /* hack so that api_ready doesn't invoke ready hook */
1943 root 1.327 api_ready (aTHX_ SvRV (sv_idle));
1944 root 1.326 --coro_nready;
1945     }
1946     else
1947     {
1948 root 1.372 /* TODO: deprecated, remove, cannot work reliably *//*D*/
1949 root 1.326 dSP;
1950 root 1.133
1951 root 1.326 ENTER;
1952     SAVETMPS;
1953 root 1.23
1954 root 1.326 PUSHMARK (SP);
1955     PUTBACK;
1956     call_sv (sv_idle, G_VOID | G_DISCARD);
1957 root 1.133
1958 root 1.326 FREETMPS;
1959     LEAVE;
1960     }
1961 root 1.133 }
1962 root 1.88 }
1963 root 1.92 }
1964    
1965 root 1.413 ecb_inline void
1966 root 1.272 prepare_cede (pTHX_ struct coro_transfer_args *ta)
1967 root 1.92 {
1968 root 1.270 api_ready (aTHX_ coro_current);
1969 root 1.146 prepare_schedule (aTHX_ ta);
1970 root 1.131 }
1971 pcg 1.55
1972 root 1.413 ecb_inline void
1973 root 1.272 prepare_cede_notself (pTHX_ struct coro_transfer_args *ta)
1974 root 1.131 {
1975 root 1.267 SV *prev = SvRV (coro_current);
1976    
1977 root 1.131 if (coro_nready)
1978     {
1979 root 1.146 prepare_schedule (aTHX_ ta);
1980 root 1.270 api_ready (aTHX_ prev);
1981 root 1.131 }
1982     else
1983 root 1.272 prepare_nop (aTHX_ ta);
1984 root 1.39 }
1985    
1986 root 1.92 static void
1987 root 1.270 api_schedule (pTHX)
1988 root 1.92 {
1989 root 1.272 struct coro_transfer_args ta;
1990 root 1.92
1991 root 1.146 prepare_schedule (aTHX_ &ta);
1992 root 1.227 TRANSFER (ta, 1);
1993 root 1.92 }
1994 root 1.89
1995 root 1.317 static void
1996     api_schedule_to (pTHX_ SV *coro_sv)
1997     {
1998     struct coro_transfer_args ta;
1999     struct coro *next = SvSTATE (coro_sv);
2000    
2001     SvREFCNT_inc_NN (coro_sv);
2002     prepare_schedule_to (aTHX_ &ta, next);
2003     }
2004    
2005 root 1.131 static int
2006 root 1.270 api_cede (pTHX)
2007 root 1.39 {
2008 root 1.272 struct coro_transfer_args ta;
2009 root 1.89
2010 root 1.146 prepare_cede (aTHX_ &ta);
2011 root 1.131
2012 root 1.404 if (ecb_expect_true (ta.prev != ta.next))
2013 root 1.131 {
2014 root 1.227 TRANSFER (ta, 1);
2015 root 1.131 return 1;
2016     }
2017     else
2018     return 0;
2019     }
2020    
2021     static int
2022 root 1.270 api_cede_notself (pTHX)
2023 root 1.131 {
2024 root 1.267 if (coro_nready)
2025     {
2026 root 1.272 struct coro_transfer_args ta;
2027 root 1.131
2028 root 1.267 prepare_cede_notself (aTHX_ &ta);
2029 root 1.227 TRANSFER (ta, 1);
2030 root 1.131 return 1;
2031     }
2032     else
2033     return 0;
2034 root 1.23 }
2035    
2036 root 1.173 static void
2037 root 1.270 api_trace (pTHX_ SV *coro_sv, int flags)
2038 root 1.173 {
2039 root 1.174 struct coro *coro = SvSTATE (coro_sv);
2040 root 1.173
2041 root 1.324 if (coro->flags & CF_RUNNING)
2042     croak ("cannot enable tracing on a running coroutine, caught");
2043    
2044 root 1.173 if (flags & CC_TRACE)
2045     {
2046     if (!coro->cctx)
2047 root 1.258 coro->cctx = cctx_new_run ();
2048 root 1.173 else if (!(coro->cctx->flags & CC_TRACE))
2049 root 1.324 croak ("cannot enable tracing on coroutine with custom stack, caught");
2050 root 1.173
2051     coro->cctx->flags |= CC_NOREUSE | (flags & (CC_TRACE | CC_TRACE_ALL));
2052     }
2053     else if (coro->cctx && coro->cctx->flags & CC_TRACE)
2054     {
2055     coro->cctx->flags &= ~(CC_TRACE | CC_TRACE_ALL);
2056    
2057     if (coro->flags & CF_RUNNING)
2058     PL_runops = RUNOPS_DEFAULT;
2059     else
2060 root 1.198 coro->slot->runops = RUNOPS_DEFAULT;
2061 root 1.173 }
2062     }
2063    
2064 root 1.318 static void
2065 root 1.399 coro_push_av (pTHX_ AV *av, I32 gimme_v)
2066     {
2067     if (AvFILLp (av) >= 0 && gimme_v != G_VOID)
2068     {
2069     dSP;
2070    
2071     if (gimme_v == G_SCALAR)
2072     XPUSHs (AvARRAY (av)[AvFILLp (av)]);
2073     else
2074     {
2075     int i;
2076     EXTEND (SP, AvFILLp (av) + 1);
2077    
2078     for (i = 0; i <= AvFILLp (av); ++i)
2079     PUSHs (AvARRAY (av)[i]);
2080     }
2081    
2082     PUTBACK;
2083     }
2084     }
2085    
2086     static void
2087 root 1.402 coro_push_on_destroy (pTHX_ struct coro *coro, SV *cb)
2088 root 1.399 {
2089     if (!coro->on_destroy)
2090     coro->on_destroy = newAV ();
2091    
2092     av_push (coro->on_destroy, cb);
2093     }
2094    
2095     static void
2096     slf_destroy_join (pTHX_ struct CoroSLF *frame)
2097     {
2098     SvREFCNT_dec ((SV *)((struct coro *)frame->data)->hv);
2099     }
2100    
2101     static int
2102     slf_check_join (pTHX_ struct CoroSLF *frame)
2103     {
2104     struct coro *coro = (struct coro *)frame->data;
2105    
2106     if (!coro->status)
2107     return 1;
2108    
2109     frame->destroy = 0;
2110    
2111 root 1.402 coro_push_av (aTHX_ coro->status, GIMME_V);
2112 root 1.399
2113     SvREFCNT_dec ((SV *)coro->hv);
2114    
2115     return 0;
2116     }
2117    
2118     static void
2119     slf_init_join (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2120     {
2121     struct coro *coro = SvSTATE (items > 0 ? arg [0] : &PL_sv_undef);
2122    
2123     if (items > 1)
2124     croak ("join called with too many arguments");
2125    
2126     if (coro->status)
2127     frame->prepare = prepare_nop;
2128     else
2129     {
2130     coro_push_on_destroy (aTHX_ coro, SvREFCNT_inc_NN (SvRV (coro_current)));
2131     frame->prepare = prepare_schedule;
2132     }
2133    
2134     frame->check = slf_check_join;
2135     frame->destroy = slf_destroy_join;
2136     frame->data = (void *)coro;
2137     SvREFCNT_inc (coro->hv);
2138     }
2139    
2140     static void
2141 root 1.318 coro_call_on_destroy (pTHX_ struct coro *coro)
2142     {
2143 root 1.399 AV *od = coro->on_destroy;
2144    
2145     if (!od)
2146     return;
2147 root 1.318
2148 root 1.399 while (AvFILLp (od) >= 0)
2149 root 1.318 {
2150 root 1.399 SV *cb = sv_2mortal (av_pop (od));
2151 root 1.318
2152 root 1.399 /* coro hv's (and only hv's at the moment) are supported as well */
2153     if (SvSTATEhv_p (aTHX_ cb))
2154     api_ready (aTHX_ cb);
2155     else
2156 root 1.318 {
2157     dSP; /* don't disturb outer sp */
2158     PUSHMARK (SP);
2159    
2160 root 1.399 if (coro->status)
2161 root 1.318 {
2162 root 1.399 PUTBACK;
2163     coro_push_av (aTHX_ coro->status, G_ARRAY);
2164     SPAGAIN;
2165 root 1.318 }
2166    
2167     PUTBACK;
2168 root 1.400 call_sv (cb, G_VOID | G_DISCARD);
2169 root 1.318 }
2170     }
2171     }
2172    
2173     static void
2174 root 1.399 coro_set_status (pTHX_ struct coro *coro, SV **arg, int items)
2175 root 1.318 {
2176 root 1.399 AV *av;
2177    
2178     if (coro->status)
2179     {
2180     av = coro->status;
2181     av_clear (av);
2182     }
2183     else
2184     av = coro->status = newAV ();
2185 root 1.318
2186 root 1.368 /* items are actually not so common, so optimise for this case */
2187     if (items)
2188     {
2189 root 1.392 int i;
2190    
2191 root 1.368 av_extend (av, items - 1);
2192    
2193     for (i = 0; i < items; ++i)
2194     av_push (av, SvREFCNT_inc_NN (arg [i]));
2195     }
2196 root 1.392 }
2197 root 1.318
2198 root 1.392 static void
2199     slf_init_terminate_cancel_common (pTHX_ struct CoroSLF *frame, HV *coro_hv)
2200     {
2201     av_push (av_destroy, (SV *)newRV_inc ((SV *)coro_hv)); /* RVinc for perl */
2202 root 1.318 api_ready (aTHX_ sv_manager);
2203    
2204     frame->prepare = prepare_schedule;
2205     frame->check = slf_check_repeat;
2206 root 1.337
2207     /* as a minor optimisation, we could unwind all stacks here */
2208     /* but that puts extra pressure on pp_slf, and is not worth much */
2209     /*coro_unwind_stacks (aTHX);*/
2210 root 1.318 }
2211    
2212 root 1.392 static void
2213     slf_init_terminate (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2214     {
2215     HV *coro_hv = (HV *)SvRV (coro_current);
2216    
2217 root 1.399 coro_set_status (aTHX_ SvSTATE ((SV *)coro_hv), arg, items);
2218 root 1.398 slf_init_terminate_cancel_common (aTHX_ frame, coro_hv);
2219 root 1.392 }
2220    
2221     static void
2222     slf_init_cancel (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2223     {
2224     HV *coro_hv;
2225     struct coro *coro;
2226    
2227     if (items <= 0)
2228     croak ("Coro::cancel called without coro object,");
2229    
2230     coro = SvSTATE (arg [0]);
2231     coro_hv = coro->hv;
2232    
2233 root 1.399 coro_set_status (aTHX_ coro, arg + 1, items - 1);
2234 root 1.392
2235 root 1.404 if (ecb_expect_false (coro->flags & CF_NOCANCEL))
2236 root 1.393 {
2237     /* coro currently busy cancelling something, so just notify it */
2238     coro->slf_frame.data = (void *)coro;
2239    
2240     frame->prepare = prepare_nop;
2241     frame->check = slf_check_nop;
2242     }
2243     else if (coro_hv == (HV *)SvRV (coro_current))
2244     {
2245     /* cancelling the current coro is allowed, and equals terminate */
2246 root 1.398 slf_init_terminate_cancel_common (aTHX_ frame, coro_hv);
2247 root 1.393 }
2248 root 1.392 else
2249     {
2250 root 1.393 struct coro *self = SvSTATE_current;
2251    
2252     /* otherwise we cancel directly, purely for speed reasons
2253     * unfortunately, this requires some magic trickery, as
2254     * somebody else could cancel us, so we have to fight the cancellation.
2255     * this is ugly, and hopefully fully worth the extra speed.
2256     * besides, I can't get the slow-but-safe version working...
2257     */
2258     slf_frame.data = 0;
2259     self->flags |= CF_NOCANCEL;
2260 root 1.392 coro_state_destroy (aTHX_ coro);
2261 root 1.393 self->flags &= ~CF_NOCANCEL;
2262    
2263     if (slf_frame.data)
2264     {
2265     /* while we were busy we have been cancelled, so terminate */
2266 root 1.398 slf_init_terminate_cancel_common (aTHX_ frame, self->hv);
2267 root 1.393 }
2268     else
2269     {
2270     frame->prepare = prepare_nop;
2271     frame->check = slf_check_nop;
2272     }
2273 root 1.392 }
2274     }
2275    
2276 root 1.397 static int
2277     slf_check_safe_cancel (pTHX_ struct CoroSLF *frame)
2278     {
2279     frame->prepare = 0;
2280 root 1.398 coro_unwind_stacks (aTHX);
2281 root 1.397
2282     slf_init_terminate_cancel_common (aTHX_ frame, (HV *)SvRV (coro_current));
2283    
2284     return 1;
2285     }
2286    
2287     static int
2288     safe_cancel (pTHX_ struct coro *coro, SV **arg, int items)
2289     {
2290     if (coro->cctx)
2291     croak ("coro inside C callback, unable to cancel at this time, caught");
2292    
2293     if (coro->flags & CF_NEW)
2294     {
2295 root 1.399 coro_set_status (aTHX_ coro, arg, items);
2296 root 1.397 coro_state_destroy (aTHX_ coro);
2297     }
2298     else
2299     {
2300     if (!coro->slf_frame.prepare)
2301     croak ("coro outside an SLF function, unable to cancel at this time, caught");
2302    
2303 root 1.398 slf_destroy (aTHX_ coro);
2304 root 1.397
2305 root 1.399 coro_set_status (aTHX_ coro, arg, items);
2306 root 1.397 coro->slf_frame.prepare = prepare_nop;
2307     coro->slf_frame.check = slf_check_safe_cancel;
2308    
2309 root 1.399 api_ready (aTHX_ (SV *)coro->hv);
2310 root 1.397 }
2311    
2312     return 1;
2313     }
2314    
2315 root 1.246 /*****************************************************************************/
2316 root 1.312 /* async pool handler */
2317    
2318     static int
2319     slf_check_pool_handler (pTHX_ struct CoroSLF *frame)
2320     {
2321     HV *hv = (HV *)SvRV (coro_current);
2322     struct coro *coro = (struct coro *)frame->data;
2323    
2324     if (!coro->invoke_cb)
2325     return 1; /* loop till we have invoke */
2326     else
2327     {
2328     hv_store (hv, "desc", sizeof ("desc") - 1,
2329     newSVpvn ("[async_pool]", sizeof ("[async_pool]") - 1), 0);
2330    
2331     coro->saved_deffh = SvREFCNT_inc_NN ((SV *)PL_defoutgv);
2332    
2333     {
2334     dSP;
2335     XPUSHs (sv_2mortal (coro->invoke_cb)); coro->invoke_cb = 0;
2336     PUTBACK;
2337     }
2338    
2339     SvREFCNT_dec (GvAV (PL_defgv));
2340     GvAV (PL_defgv) = coro->invoke_av;
2341     coro->invoke_av = 0;
2342    
2343     return 0;
2344     }
2345     }
2346    
2347     static void
2348     slf_init_pool_handler (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2349     {
2350     HV *hv = (HV *)SvRV (coro_current);
2351     struct coro *coro = SvSTATE_hv ((SV *)hv);
2352    
2353 root 1.404 if (ecb_expect_true (coro->saved_deffh))
2354 root 1.312 {
2355     /* subsequent iteration */
2356     SvREFCNT_dec ((SV *)PL_defoutgv); PL_defoutgv = (GV *)coro->saved_deffh;
2357     coro->saved_deffh = 0;
2358    
2359     if (coro_rss (aTHX_ coro) > SvUV (sv_pool_rss)
2360     || av_len (av_async_pool) + 1 >= SvIV (sv_pool_size))
2361     {
2362 root 1.397 slf_init_terminate_cancel_common (aTHX_ frame, hv);
2363     return;
2364 root 1.312 }
2365     else
2366     {
2367     av_clear (GvAV (PL_defgv));
2368     hv_store (hv, "desc", sizeof ("desc") - 1, SvREFCNT_inc_NN (sv_async_pool_idle), 0);
2369    
2370     coro->prio = 0;
2371    
2372     if (coro->cctx && (coro->cctx->flags & CC_TRACE))
2373     api_trace (aTHX_ coro_current, 0);
2374    
2375     frame->prepare = prepare_schedule;
2376     av_push (av_async_pool, SvREFCNT_inc (hv));
2377     }
2378     }
2379     else
2380     {
2381     /* first iteration, simply fall through */
2382     frame->prepare = prepare_nop;
2383     }
2384    
2385     frame->check = slf_check_pool_handler;
2386     frame->data = (void *)coro;
2387     }
2388    
2389     /*****************************************************************************/
2390 root 1.302 /* rouse callback */
2391    
2392     #define CORO_MAGIC_type_rouse PERL_MAGIC_ext
2393    
2394     static void
2395     coro_rouse_callback (pTHX_ CV *cv)
2396     {
2397     dXSARGS;
2398 root 1.363 SV *data = (SV *)S_GENSUB_ARG;
2399 root 1.302
2400     if (SvTYPE (SvRV (data)) != SVt_PVAV)
2401     {
2402     /* first call, set args */
2403 root 1.346 SV *coro = SvRV (data);
2404 root 1.302 AV *av = newAV ();
2405    
2406     SvRV_set (data, (SV *)av);
2407    
2408 root 1.303 /* better take a full copy of the arguments */
2409 root 1.302 while (items--)
2410 root 1.303 av_store (av, items, newSVsv (ST (items)));
2411 root 1.346
2412     api_ready (aTHX_ coro);
2413     SvREFCNT_dec (coro);
2414 root 1.302 }
2415    
2416     XSRETURN_EMPTY;
2417     }
2418    
2419     static int
2420     slf_check_rouse_wait (pTHX_ struct CoroSLF *frame)
2421     {
2422     SV *data = (SV *)frame->data;
2423    
2424     if (CORO_THROW)
2425     return 0;
2426    
2427     if (SvTYPE (SvRV (data)) != SVt_PVAV)
2428     return 1;
2429    
2430     /* now push all results on the stack */
2431     {
2432     dSP;
2433     AV *av = (AV *)SvRV (data);
2434     int i;
2435    
2436     EXTEND (SP, AvFILLp (av) + 1);
2437     for (i = 0; i <= AvFILLp (av); ++i)
2438 root 1.303 PUSHs (sv_2mortal (AvARRAY (av)[i]));
2439    
2440 root 1.346 /* we have stolen the elements, so set length to zero and free */
2441 root 1.303 AvFILLp (av) = -1;
2442     av_undef (av);
2443 root 1.302
2444     PUTBACK;
2445     }
2446    
2447     return 0;
2448     }
2449    
2450     static void
2451     slf_init_rouse_wait (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2452     {
2453     SV *cb;
2454    
2455     if (items)
2456     cb = arg [0];
2457     else
2458     {
2459     struct coro *coro = SvSTATE_current;
2460    
2461     if (!coro->rouse_cb)
2462     croak ("Coro::rouse_wait called without rouse callback, and no default rouse callback found either,");
2463    
2464     cb = sv_2mortal (coro->rouse_cb);
2465     coro->rouse_cb = 0;
2466     }
2467    
2468     if (!SvROK (cb)
2469     || SvTYPE (SvRV (cb)) != SVt_PVCV
2470     || CvXSUB ((CV *)SvRV (cb)) != coro_rouse_callback)
2471     croak ("Coro::rouse_wait called with illegal callback argument,");
2472    
2473     {
2474 root 1.363 CV *cv = (CV *)SvRV (cb); /* for S_GENSUB_ARG */
2475     SV *data = (SV *)S_GENSUB_ARG;
2476 root 1.302
2477     frame->data = (void *)data;
2478     frame->prepare = SvTYPE (SvRV (data)) == SVt_PVAV ? prepare_nop : prepare_schedule;
2479     frame->check = slf_check_rouse_wait;
2480     }
2481     }
2482    
2483     static SV *
2484     coro_new_rouse_cb (pTHX)
2485     {
2486     HV *hv = (HV *)SvRV (coro_current);
2487     struct coro *coro = SvSTATE_hv (hv);
2488     SV *data = newRV_inc ((SV *)hv);
2489 root 1.363 SV *cb = s_gensub (aTHX_ coro_rouse_callback, (void *)data);
2490 root 1.302
2491     sv_magicext (SvRV (cb), data, CORO_MAGIC_type_rouse, 0, 0, 0);
2492 root 1.303 SvREFCNT_dec (data); /* magicext increases the refcount */
2493 root 1.302
2494     SvREFCNT_dec (coro->rouse_cb);
2495     coro->rouse_cb = SvREFCNT_inc_NN (cb);
2496    
2497     return cb;
2498     }
2499    
2500     /*****************************************************************************/
2501 root 1.287 /* schedule-like-function opcode (SLF) */
2502 root 1.264
2503 root 1.269 static UNOP slf_restore; /* restore stack as entersub did, for first-re-run */
2504 root 1.284 static const CV *slf_cv;
2505 root 1.285 static SV **slf_argv;
2506     static int slf_argc, slf_arga; /* count, allocated */
2507 root 1.284 static I32 slf_ax; /* top of stack, for restore */
2508 root 1.264
2509     /* this restores the stack in the case we patched the entersub, to */
2510     /* recreate the stack frame as perl will on following calls */
2511     /* since entersub cleared the stack */
2512     static OP *
2513     pp_restore (pTHX)
2514     {
2515 root 1.285 int i;
2516 root 1.284 SV **SP = PL_stack_base + slf_ax;
2517 root 1.264
2518     PUSHMARK (SP);
2519    
2520 root 1.285 EXTEND (SP, slf_argc + 1);
2521    
2522     for (i = 0; i < slf_argc; ++i)
2523     PUSHs (sv_2mortal (slf_argv [i]));
2524    
2525 root 1.269 PUSHs ((SV *)CvGV (slf_cv));
2526 root 1.264
2527 root 1.269 RETURNOP (slf_restore.op_first);
2528 root 1.264 }
2529    
2530 root 1.272 static void
2531 root 1.273 slf_prepare_transfer (pTHX_ struct coro_transfer_args *ta)
2532 root 1.272 {
2533 root 1.273 SV **arg = (SV **)slf_frame.data;
2534    
2535 root 1.277 prepare_transfer (aTHX_ ta, arg [0], arg [1]);
2536 root 1.272 }
2537 root 1.267
2538 root 1.272 static void
2539 root 1.279 slf_init_transfer (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2540 root 1.272 {
2541     if (items != 2)
2542 root 1.273 croak ("Coro::State::transfer (prev, next) expects two arguments, not %d,", items);
2543 root 1.272
2544 root 1.273 frame->prepare = slf_prepare_transfer;
2545     frame->check = slf_check_nop;
2546     frame->data = (void *)arg; /* let's hope it will stay valid */
2547 root 1.272 }
2548    
2549     static void
2550 root 1.279 slf_init_schedule (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2551 root 1.272 {
2552 root 1.273 frame->prepare = prepare_schedule;
2553     frame->check = slf_check_nop;
2554     }
2555 root 1.272
2556 root 1.273 static void
2557 root 1.317 slf_prepare_schedule_to (pTHX_ struct coro_transfer_args *ta)
2558     {
2559     struct coro *next = (struct coro *)slf_frame.data;
2560    
2561     SvREFCNT_inc_NN (next->hv);
2562     prepare_schedule_to (aTHX_ ta, next);
2563     }
2564    
2565     static void
2566     slf_init_schedule_to (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2567     {
2568     if (!items)
2569     croak ("Coro::schedule_to expects a coroutine argument, caught");
2570    
2571     frame->data = (void *)SvSTATE (arg [0]);
2572     frame->prepare = slf_prepare_schedule_to;
2573     frame->check = slf_check_nop;
2574     }
2575    
2576     static void
2577     slf_init_cede_to (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2578     {
2579     api_ready (aTHX_ SvRV (coro_current));
2580    
2581     slf_init_schedule_to (aTHX_ frame, cv, arg, items);
2582     }
2583    
2584     static void
2585 root 1.279 slf_init_cede (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2586 root 1.273 {
2587     frame->prepare = prepare_cede;
2588     frame->check = slf_check_nop;
2589 root 1.272 }
2590    
2591     static void
2592 root 1.279 slf_init_cede_notself (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
2593 root 1.272 {
2594 root 1.273 frame->prepare = prepare_cede_notself;
2595     frame->check = slf_check_nop;
2596 root 1.272 }
2597    
2598 root 1.397 /* "undo"/cancel a running slf call - used when cancelling a coro, mainly */
2599     static void
2600     slf_destroy (pTHX_ struct coro *coro)
2601     {
2602     /* this callback is reserved for slf functions needing to do cleanup */
2603     if (coro->slf_frame.destroy && coro->slf_frame.prepare && !PL_dirty)
2604 root 1.399 coro->slf_frame.destroy (aTHX_ &coro->slf_frame);
2605 root 1.397
2606     /*
2607     * The on_destroy above most likely is from an SLF call.
2608     * Since by definition the SLF call will not finish when we destroy
2609     * the coro, we will have to force-finish it here, otherwise
2610     * cleanup functions cannot call SLF functions.
2611     */
2612     coro->slf_frame.prepare = 0;
2613     }
2614    
2615 root 1.267 /*
2616     * these not obviously related functions are all rolled into one
2617     * function to increase chances that they all will call transfer with the same
2618     * stack offset
2619 root 1.269 * SLF stands for "schedule-like-function".
2620 root 1.267 */
2621 root 1.264 static OP *
2622 root 1.269 pp_slf (pTHX)
2623 root 1.264 {
2624 root 1.272 I32 checkmark; /* mark SP to see how many elements check has pushed */
2625    
2626 root 1.273 /* set up the slf frame, unless it has already been set-up */
2627     /* the latter happens when a new coro has been started */
2628     /* or when a new cctx was attached to an existing coroutine */
2629 root 1.404 if (ecb_expect_true (!slf_frame.prepare))
2630 root 1.272 {
2631     /* first iteration */
2632     dSP;
2633     SV **arg = PL_stack_base + TOPMARK + 1;
2634     int items = SP - arg; /* args without function object */
2635     SV *gv = *sp;
2636    
2637     /* do a quick consistency check on the "function" object, and if it isn't */
2638     /* for us, divert to the real entersub */
2639 root 1.287 if (SvTYPE (gv) != SVt_PVGV
2640     || !GvCV (gv)
2641     || !(CvFLAGS (GvCV (gv)) & CVf_SLF))
2642 root 1.272 return PL_ppaddr[OP_ENTERSUB](aTHX);
2643    
2644     if (!(PL_op->op_flags & OPf_STACKED))
2645     {
2646     /* ampersand-form of call, use @_ instead of stack */
2647     AV *av = GvAV (PL_defgv);
2648     arg = AvARRAY (av);
2649     items = AvFILLp (av) + 1;
2650     }
2651 root 1.264
2652 root 1.280 /* now call the init function, which needs to set up slf_frame */
2653     ((coro_slf_cb)CvXSUBANY (GvCV (gv)).any_ptr)
2654     (aTHX_ &slf_frame, GvCV (gv), arg, items);
2655 root 1.283
2656     /* pop args */
2657     SP = PL_stack_base + POPMARK;
2658    
2659     PUTBACK;
2660 root 1.270 }
2661    
2662 root 1.280 /* now that we have a slf_frame, interpret it! */
2663 root 1.272 /* we use a callback system not to make the code needlessly */
2664     /* complicated, but so we can run multiple perl coros from one cctx */
2665    
2666     do
2667 root 1.264 {
2668 root 1.272 struct coro_transfer_args ta;
2669 root 1.264
2670 root 1.272 slf_frame.prepare (aTHX_ &ta);
2671     TRANSFER (ta, 0);
2672 root 1.264
2673 root 1.272 checkmark = PL_stack_sp - PL_stack_base;
2674     }
2675 root 1.273 while (slf_frame.check (aTHX_ &slf_frame));
2676 root 1.264
2677 root 1.285 slf_frame.prepare = 0; /* invalidate the frame, we are done processing it */
2678    
2679 root 1.296 /* exception handling */
2680 root 1.404 if (ecb_expect_false (CORO_THROW))
2681 root 1.296 {
2682 root 1.297 SV *exception = sv_2mortal (CORO_THROW);
2683 root 1.296
2684 root 1.297 CORO_THROW = 0;
2685 root 1.296 sv_setsv (ERRSV, exception);
2686     croak (0);
2687     }
2688    
2689 root 1.285 /* return value handling - mostly like entersub */
2690 root 1.290 /* make sure we put something on the stack in scalar context */
2691 root 1.399 if (GIMME_V == G_SCALAR
2692 root 1.404 && ecb_expect_false (PL_stack_sp != PL_stack_base + checkmark + 1))
2693 root 1.290 {
2694     dSP;
2695     SV **bot = PL_stack_base + checkmark;
2696 root 1.264
2697 root 1.290 if (sp == bot) /* too few, push undef */
2698     bot [1] = &PL_sv_undef;
2699 root 1.399 else /* too many, take last one */
2700 root 1.290 bot [1] = *sp;
2701 root 1.269
2702 root 1.290 SP = bot + 1;
2703 root 1.264
2704 root 1.290 PUTBACK;
2705     }
2706 root 1.270
2707 root 1.272 return NORMAL;
2708 root 1.264 }
2709 root 1.246
2710 root 1.267 static void
2711 root 1.284 api_execute_slf (pTHX_ CV *cv, coro_slf_cb init_cb, I32 ax)
2712 root 1.267 {
2713 root 1.285 int i;
2714 root 1.284 SV **arg = PL_stack_base + ax;
2715     int items = PL_stack_sp - arg + 1;
2716    
2717 root 1.272 assert (("FATAL: SLF call with illegal CV value", !CvANON (cv)));
2718 root 1.1
2719 root 1.273 if (PL_op->op_ppaddr != PL_ppaddr [OP_ENTERSUB]
2720     && PL_op->op_ppaddr != pp_slf)
2721 root 1.274 croak ("FATAL: Coro SLF calls can only be made normally, not via goto or any other means, caught");
2722 root 1.273
2723 root 1.272 CvFLAGS (cv) |= CVf_SLF;
2724 root 1.273 CvXSUBANY (cv).any_ptr = (void *)init_cb;
2725 root 1.269 slf_cv = cv;
2726 root 1.1
2727 root 1.267 /* we patch the op, and then re-run the whole call */
2728 root 1.269 /* we have to put the same argument on the stack for this to work */
2729     /* and this will be done by pp_restore */
2730 root 1.284 slf_restore.op_next = (OP *)&slf_restore;
2731     slf_restore.op_type = OP_CUSTOM;
2732 root 1.269 slf_restore.op_ppaddr = pp_restore;
2733 root 1.284 slf_restore.op_first = PL_op;
2734 root 1.264
2735 root 1.284 slf_ax = ax - 1; /* undo the ax++ inside dAXMARK */
2736 root 1.285
2737 root 1.286 if (PL_op->op_flags & OPf_STACKED)
2738 root 1.285 {
2739 root 1.286 if (items > slf_arga)
2740     {
2741     slf_arga = items;
2742 root 1.379 Safefree (slf_argv);
2743     New (0, slf_argv, slf_arga, SV *);
2744 root 1.286 }
2745 root 1.285
2746 root 1.286 slf_argc = items;
2747 root 1.285
2748 root 1.286 for (i = 0; i < items; ++i)
2749     slf_argv [i] = SvREFCNT_inc (arg [i]);
2750     }
2751     else
2752     slf_argc = 0;
2753 root 1.266
2754 root 1.269 PL_op->op_ppaddr = pp_slf;
2755 root 1.320 /*PL_op->op_type = OP_CUSTOM; /* we do behave like entersub still */
2756 root 1.264
2757 root 1.269 PL_op = (OP *)&slf_restore;
2758 root 1.267 }
2759 root 1.264
2760 root 1.275 /*****************************************************************************/
2761 root 1.339 /* dynamic wind */
2762    
2763     static void
2764     on_enterleave_call (pTHX_ SV *cb)
2765     {
2766     dSP;
2767    
2768     PUSHSTACK;
2769    
2770     PUSHMARK (SP);
2771     PUTBACK;
2772     call_sv (cb, G_VOID | G_DISCARD);
2773     SPAGAIN;
2774    
2775     POPSTACK;
2776     }
2777    
2778     static SV *
2779 root 1.340 coro_avp_pop_and_free (pTHX_ AV **avp)
2780 root 1.339 {
2781     AV *av = *avp;
2782     SV *res = av_pop (av);
2783    
2784     if (AvFILLp (av) < 0)
2785     {
2786     *avp = 0;
2787     SvREFCNT_dec (av);
2788     }
2789    
2790     return res;
2791     }
2792    
2793     static void
2794     coro_pop_on_enter (pTHX_ void *coro)
2795     {
2796 root 1.340 SV *cb = coro_avp_pop_and_free (aTHX_ &((struct coro *)coro)->on_enter);
2797 root 1.339 SvREFCNT_dec (cb);
2798     }
2799    
2800     static void
2801     coro_pop_on_leave (pTHX_ void *coro)
2802     {
2803 root 1.340 SV *cb = coro_avp_pop_and_free (aTHX_ &((struct coro *)coro)->on_leave);
2804     on_enterleave_call (aTHX_ sv_2mortal (cb));
2805 root 1.339 }
2806    
2807     /*****************************************************************************/
2808 root 1.287 /* PerlIO::cede */
2809    
2810     typedef struct
2811     {
2812     PerlIOBuf base;
2813     NV next, every;
2814     } PerlIOCede;
2815    
2816 root 1.404 static IV ecb_cold
2817 root 1.287 PerlIOCede_pushed (pTHX_ PerlIO *f, const char *mode, SV *arg, PerlIO_funcs *tab)
2818     {
2819     PerlIOCede *self = PerlIOSelf (f, PerlIOCede);
2820    
2821     self->every = SvCUR (arg) ? SvNV (arg) : 0.01;
2822     self->next = nvtime () + self->every;
2823    
2824     return PerlIOBuf_pushed (aTHX_ f, mode, Nullsv, tab);
2825     }
2826    
2827 root 1.404 static SV * ecb_cold
2828 root 1.287 PerlIOCede_getarg (pTHX_ PerlIO *f, CLONE_PARAMS *param, int flags)
2829     {
2830     PerlIOCede *self = PerlIOSelf (f, PerlIOCede);
2831    
2832     return newSVnv (self->every);
2833     }
2834    
2835     static IV
2836     PerlIOCede_flush (pTHX_ PerlIO *f)
2837     {
2838     PerlIOCede *self = PerlIOSelf (f, PerlIOCede);
2839     double now = nvtime ();
2840    
2841     if (now >= self->next)
2842     {
2843     api_cede (aTHX);
2844     self->next = now + self->every;
2845     }
2846    
2847     return PerlIOBuf_flush (aTHX_ f);
2848     }
2849    
2850     static PerlIO_funcs PerlIO_cede =
2851     {
2852     sizeof(PerlIO_funcs),
2853     "cede",
2854     sizeof(PerlIOCede),
2855     PERLIO_K_DESTRUCT | PERLIO_K_RAW,
2856     PerlIOCede_pushed,
2857     PerlIOBuf_popped,
2858     PerlIOBuf_open,
2859     PerlIOBase_binmode,
2860     PerlIOCede_getarg,
2861     PerlIOBase_fileno,
2862     PerlIOBuf_dup,
2863     PerlIOBuf_read,
2864     PerlIOBuf_unread,
2865     PerlIOBuf_write,
2866     PerlIOBuf_seek,
2867     PerlIOBuf_tell,
2868     PerlIOBuf_close,
2869     PerlIOCede_flush,
2870     PerlIOBuf_fill,
2871     PerlIOBase_eof,
2872     PerlIOBase_error,
2873     PerlIOBase_clearerr,
2874     PerlIOBase_setlinebuf,
2875     PerlIOBuf_get_base,
2876     PerlIOBuf_bufsiz,
2877     PerlIOBuf_get_ptr,
2878     PerlIOBuf_get_cnt,
2879     PerlIOBuf_set_ptrcnt,
2880     };
2881    
2882     /*****************************************************************************/
2883 root 1.300 /* Coro::Semaphore & Coro::Signal */
2884 root 1.275
2885 root 1.299 static SV *
2886 root 1.300 coro_waitarray_new (pTHX_ int count)
2887 root 1.299 {
2888 root 1.353 /* a waitarray=semaphore contains a counter IV in $sem->[0] and any waiters after that */
2889 root 1.299 AV *av = newAV ();
2890     SV **ary;
2891    
2892     /* unfortunately, building manually saves memory */
2893     Newx (ary, 2, SV *);
2894     AvALLOC (av) = ary;
2895 root 1.329 #if PERL_VERSION_ATLEAST (5,10,0)
2896     AvARRAY (av) = ary;
2897     #else
2898     /* 5.8.8 needs this syntax instead of AvARRAY = ary, yet */
2899     /* -DDEBUGGING flags this as a bug, despite it perfectly working */
2900     SvPVX ((SV *)av) = (char *)ary;
2901     #endif
2902 root 1.299 AvMAX (av) = 1;
2903     AvFILLp (av) = 0;
2904     ary [0] = newSViv (count);
2905    
2906     return newRV_noinc ((SV *)av);
2907     }
2908    
2909 root 1.300 /* semaphore */
2910    
2911 root 1.280 static void
2912 root 1.282 coro_semaphore_adjust (pTHX_ AV *av, IV adjust)
2913 root 1.280 {
2914     SV *count_sv = AvARRAY (av)[0];
2915     IV count = SvIVX (count_sv);
2916    
2917     count += adjust;
2918     SvIVX (count_sv) = count;
2919    
2920 root 1.282 /* now wake up as many waiters as are expected to lock */
2921     while (count > 0 && AvFILLp (av) > 0)
2922 root 1.280 {
2923     SV *cb;
2924    
2925     /* swap first two elements so we can shift a waiter */
2926     AvARRAY (av)[0] = AvARRAY (av)[1];
2927     AvARRAY (av)[1] = count_sv;
2928     cb = av_shift (av);
2929    
2930     if (SvOBJECT (cb))
2931 root 1.306 {
2932     api_ready (aTHX_ cb);
2933     --count;
2934     }
2935     else if (SvTYPE (cb) == SVt_PVCV)
2936     {
2937     dSP;
2938     PUSHMARK (SP);
2939     XPUSHs (sv_2mortal (newRV_inc ((SV *)av)));
2940     PUTBACK;
2941     call_sv (cb, G_VOID | G_DISCARD | G_EVAL | G_KEEPERR);
2942     }
2943 root 1.280
2944     SvREFCNT_dec (cb);
2945     }
2946     }
2947    
2948     static void
2949 root 1.399 coro_semaphore_destroy (pTHX_ struct CoroSLF *frame)
2950 root 1.280 {
2951 root 1.282 /* call $sem->adjust (0) to possibly wake up some other waiters */
2952 root 1.399 coro_semaphore_adjust (aTHX_ (AV *)frame->data, 0);
2953 root 1.280 }
2954    
2955 root 1.275 static int
2956 root 1.298 slf_check_semaphore_down_or_wait (pTHX_ struct CoroSLF *frame, int acquire)
2957 root 1.275 {
2958     AV *av = (AV *)frame->data;
2959     SV *count_sv = AvARRAY (av)[0];
2960 root 1.399 SV *coro_hv = SvRV (coro_current);
2961 root 1.275
2962 root 1.295 /* if we are about to throw, don't actually acquire the lock, just throw */
2963 root 1.297 if (CORO_THROW)
2964 root 1.295 return 0;
2965     else if (SvIVX (count_sv) > 0)
2966 root 1.275 {
2967 root 1.397 frame->destroy = 0;
2968 root 1.298
2969     if (acquire)
2970     SvIVX (count_sv) = SvIVX (count_sv) - 1;
2971     else
2972     coro_semaphore_adjust (aTHX_ av, 0);
2973    
2974 root 1.275 return 0;
2975     }
2976     else
2977     {
2978     int i;
2979     /* if we were woken up but can't down, we look through the whole */
2980     /* waiters list and only add us if we aren't in there already */
2981     /* this avoids some degenerate memory usage cases */
2982 root 1.410 for (i = AvFILLp (av); i > 0; --i) /* i > 0 is not an off-by-one bug */
2983 root 1.399 if (AvARRAY (av)[i] == coro_hv)
2984 root 1.275 return 1;
2985    
2986 root 1.399 av_push (av, SvREFCNT_inc (coro_hv));
2987 root 1.275 return 1;
2988     }
2989     }
2990    
2991 root 1.298 static int
2992     slf_check_semaphore_down (pTHX_ struct CoroSLF *frame)
2993     {
2994     return slf_check_semaphore_down_or_wait (aTHX_ frame, 1);
2995     }
2996    
2997     static int
2998     slf_check_semaphore_wait (pTHX_ struct CoroSLF *frame)
2999     {
3000     return slf_check_semaphore_down_or_wait (aTHX_ frame, 0);
3001     }
3002    
3003 root 1.275 static void
3004 root 1.298 slf_init_semaphore_down_or_wait (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
3005 root 1.275 {
3006     AV *av = (AV *)SvRV (arg [0]);
3007    
3008     if (SvIVX (AvARRAY (av)[0]) > 0)
3009     {
3010     frame->data = (void *)av;
3011     frame->prepare = prepare_nop;
3012     }
3013     else
3014     {
3015     av_push (av, SvREFCNT_inc (SvRV (coro_current)));
3016    
3017     frame->data = (void *)sv_2mortal (SvREFCNT_inc ((SV *)av));
3018     frame->prepare = prepare_schedule;
3019 root 1.280 /* to avoid race conditions when a woken-up coro gets terminated */
3020     /* we arrange for a temporary on_destroy that calls adjust (0) */
3021 root 1.397 frame->destroy = coro_semaphore_destroy;
3022 root 1.275 }
3023 root 1.298 }
3024 root 1.275
3025 root 1.298 static void
3026     slf_init_semaphore_down (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
3027     {
3028     slf_init_semaphore_down_or_wait (aTHX_ frame, cv, arg, items);
3029 root 1.275 frame->check = slf_check_semaphore_down;
3030 root 1.298 }
3031 root 1.275
3032 root 1.298 static void
3033     slf_init_semaphore_wait (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
3034     {
3035 root 1.306 if (items >= 2)
3036     {
3037     /* callback form */
3038     AV *av = (AV *)SvRV (arg [0]);
3039 root 1.363 SV *cb_cv = s_get_cv_croak (arg [1]);
3040 root 1.306
3041 root 1.355 av_push (av, SvREFCNT_inc_NN (cb_cv));
3042 root 1.306
3043     if (SvIVX (AvARRAY (av)[0]) > 0)
3044     coro_semaphore_adjust (aTHX_ av, 0);
3045    
3046     frame->prepare = prepare_nop;
3047     frame->check = slf_check_nop;
3048     }
3049     else
3050     {
3051     slf_init_semaphore_down_or_wait (aTHX_ frame, cv, arg, items);
3052     frame->check = slf_check_semaphore_wait;
3053     }
3054 root 1.275 }
3055    
3056 root 1.300 /* signal */
3057    
3058     static void
3059     coro_signal_wake (pTHX_ AV *av, int count)
3060     {
3061     SvIVX (AvARRAY (av)[0]) = 0;
3062    
3063     /* now signal count waiters */
3064     while (count > 0 && AvFILLp (av) > 0)
3065     {
3066     SV *cb;
3067    
3068     /* swap first two elements so we can shift a waiter */
3069     cb = AvARRAY (av)[0];
3070     AvARRAY (av)[0] = AvARRAY (av)[1];
3071     AvARRAY (av)[1] = cb;
3072    
3073     cb = av_shift (av);
3074    
3075 root 1.355 if (SvTYPE (cb) == SVt_PVCV)
3076     {
3077     dSP;
3078     PUSHMARK (SP);
3079     XPUSHs (sv_2mortal (newRV_inc ((SV *)av)));
3080     PUTBACK;
3081     call_sv (cb, G_VOID | G_DISCARD | G_EVAL | G_KEEPERR);
3082     }
3083     else
3084     {
3085     api_ready (aTHX_ cb);
3086     sv_setiv (cb, 0); /* signal waiter */
3087     }
3088    
3089 root 1.300 SvREFCNT_dec (cb);
3090    
3091     --count;
3092     }
3093     }
3094    
3095     static int
3096     slf_check_signal_wait (pTHX_ struct CoroSLF *frame)
3097     {
3098     /* if we are about to throw, also stop waiting */
3099     return SvROK ((SV *)frame->data) && !CORO_THROW;
3100     }
3101    
3102     static void
3103     slf_init_signal_wait (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
3104     {
3105     AV *av = (AV *)SvRV (arg [0]);
3106    
3107 root 1.355 if (items >= 2)
3108     {
3109 root 1.363 SV *cb_cv = s_get_cv_croak (arg [1]);
3110 root 1.355 av_push (av, SvREFCNT_inc_NN (cb_cv));
3111    
3112     if (SvIVX (AvARRAY (av)[0]))
3113 root 1.381 coro_signal_wake (aTHX_ av, 1); /* must be the only waiter */
3114 root 1.355
3115     frame->prepare = prepare_nop;
3116     frame->check = slf_check_nop;
3117     }
3118     else if (SvIVX (AvARRAY (av)[0]))
3119 root 1.300 {
3120     SvIVX (AvARRAY (av)[0]) = 0;
3121     frame->prepare = prepare_nop;
3122     frame->check = slf_check_nop;
3123     }
3124     else
3125     {
3126 root 1.355 SV *waiter = newSVsv (coro_current); /* owned by signal av */
3127 root 1.300
3128     av_push (av, waiter);
3129    
3130     frame->data = (void *)sv_2mortal (SvREFCNT_inc_NN (waiter)); /* owned by process */
3131     frame->prepare = prepare_schedule;
3132     frame->check = slf_check_signal_wait;
3133     }
3134     }
3135    
3136 root 1.278 /*****************************************************************************/
3137 root 1.287 /* Coro::AIO */
3138    
3139     #define CORO_MAGIC_type_aio PERL_MAGIC_ext
3140    
3141     /* helper storage struct */
3142     struct io_state
3143     {
3144     int errorno;
3145     I32 laststype; /* U16 in 5.10.0 */
3146     int laststatval;
3147     Stat_t statcache;
3148     };
3149    
3150     static void
3151     coro_aio_callback (pTHX_ CV *cv)
3152     {
3153     dXSARGS;
3154 root 1.363 AV *state = (AV *)S_GENSUB_ARG;
3155 root 1.287 SV *coro = av_pop (state);
3156     SV *data_sv = newSV (sizeof (struct io_state));
3157    
3158 root 1.312 av_extend (state, items - 1);
3159 root 1.287
3160     sv_upgrade (data_sv, SVt_PV);
3161     SvCUR_set (data_sv, sizeof (struct io_state));
3162     SvPOK_only (data_sv);
3163    
3164     {
3165     struct io_state *data = (struct io_state *)SvPVX (data_sv);
3166    
3167     data->errorno = errno;
3168     data->laststype = PL_laststype;
3169     data->laststatval = PL_laststatval;
3170     data->statcache = PL_statcache;
3171     }
3172    
3173     /* now build the result vector out of all the parameters and the data_sv */
3174     {
3175     int i;
3176    
3177     for (i = 0; i < items; ++i)
3178     av_push (state, SvREFCNT_inc_NN (ST (i)));
3179     }
3180    
3181     av_push (state, data_sv);
3182    
3183     api_ready (aTHX_ coro);
3184     SvREFCNT_dec (coro);
3185     SvREFCNT_dec ((AV *)state);
3186     }
3187    
3188     static int
3189     slf_check_aio_req (pTHX_ struct CoroSLF *frame)
3190     {
3191     AV *state = (AV *)frame->data;
3192    
3193 root 1.296 /* if we are about to throw, return early */
3194     /* this does not cancel the aio request, but at least */
3195     /* it quickly returns */
3196 root 1.297 if (CORO_THROW)
3197 root 1.296 return 0;
3198    
3199 root 1.287 /* one element that is an RV? repeat! */
3200     if (AvFILLp (state) == 0 && SvROK (AvARRAY (state)[0]))
3201     return 1;
3202    
3203     /* restore status */
3204     {
3205     SV *data_sv = av_pop (state);
3206     struct io_state *data = (struct io_state *)SvPVX (data_sv);
3207    
3208     errno = data->errorno;
3209     PL_laststype = data->laststype;
3210     PL_laststatval = data->laststatval;
3211     PL_statcache = data->statcache;
3212    
3213     SvREFCNT_dec (data_sv);
3214     }
3215    
3216     /* push result values */
3217     {
3218     dSP;
3219     int i;
3220    
3221     EXTEND (SP, AvFILLp (state) + 1);
3222     for (i = 0; i <= AvFILLp (state); ++i)
3223     PUSHs (sv_2mortal (SvREFCNT_inc_NN (AvARRAY (state)[i])));
3224    
3225     PUTBACK;
3226     }
3227    
3228     return 0;
3229     }
3230    
3231     static void
3232     slf_init_aio_req (pTHX_ struct CoroSLF *frame, CV *cv, SV **arg, int items)
3233     {
3234     AV *state = (AV *)sv_2mortal ((SV *)newAV ());
3235     SV *coro_hv = SvRV (coro_current);
3236     struct coro *coro = SvSTATE_hv (coro_hv);
3237    
3238     /* put our coroutine id on the state arg */
3239     av_push (state, SvREFCNT_inc_NN (coro_hv));
3240    
3241     /* first see whether we have a non-zero priority and set it as AIO prio */
3242     if (coro->prio)
3243     {
3244     dSP;
3245    
3246     static SV *prio_cv;
3247     static SV *prio_sv;
3248    
3249 root 1.404 if (ecb_expect_false (!prio_cv))
3250 root 1.287 {
3251     prio_cv = (SV *)get_cv ("IO::AIO::aioreq_pri", 0);
3252     prio_sv = newSViv (0);
3253     }
3254    
3255     PUSHMARK (SP);
3256     sv_setiv (prio_sv, coro->prio);
3257     XPUSHs (prio_sv);
3258    
3259     PUTBACK;
3260     call_sv (prio_cv, G_VOID | G_DISCARD);
3261     }
3262    
3263     /* now call the original request */
3264     {
3265     dSP;
3266     CV *req = (CV *)CORO_MAGIC_NN ((SV *)cv, CORO_MAGIC_type_aio)->mg_obj;
3267     int i;
3268    
3269     PUSHMARK (SP);
3270    
3271     /* first push all args to the stack */
3272     EXTEND (SP, items + 1);
3273    
3274     for (i = 0; i < items; ++i)
3275     PUSHs (arg [i]);
3276    
3277     /* now push the callback closure */
3278 root 1.363 PUSHs (sv_2mortal (s_gensub (aTHX_ coro_aio_callback, (void *)SvREFCNT_inc_NN ((SV *)state))));
3279 root 1.287
3280     /* now call the AIO function - we assume our request is uncancelable */
3281     PUTBACK;
3282     call_sv ((SV *)req, G_VOID | G_DISCARD);
3283     }
3284    
3285 root 1.380 /* now that the request is going, we loop till we have a result */
3286 root 1.287 frame->data = (void *)state;
3287     frame->prepare = prepare_schedule;
3288     frame->check = slf_check_aio_req;
3289     }
3290    
3291     static void
3292     coro_aio_req_xs (pTHX_ CV *cv)
3293     {
3294     dXSARGS;
3295    
3296     CORO_EXECUTE_SLF_XS (slf_init_aio_req);
3297    
3298     XSRETURN_EMPTY;
3299     }
3300    
3301     /*****************************************************************************/
3302 root 1.278
3303 root 1.321 #if CORO_CLONE
3304     # include "clone.c"
3305     #endif
3306    
3307 root 1.368 /*****************************************************************************/
3308    
3309     static SV *
3310 root 1.369 coro_new (pTHX_ HV *stash, SV **argv, int argc, int is_coro)
3311 root 1.368 {
3312     SV *coro_sv;
3313     struct coro *coro;
3314     MAGIC *mg;
3315     HV *hv;
3316     SV *cb;
3317     int i;
3318    
3319     if (argc > 0)
3320     {
3321     cb = s_get_cv_croak (argv [0]);
3322    
3323     if (!is_coro)
3324     {
3325     if (CvISXSUB (cb))
3326     croak ("Coro::State doesn't support XS functions as coroutine start, caught");
3327    
3328     if (!CvROOT (cb))
3329     croak ("Coro::State doesn't support autoloaded or undefined functions as coroutine start, caught");
3330     }
3331     }
3332    
3333     Newz (0, coro, 1, struct coro);
3334     coro->args = newAV ();
3335     coro->flags = CF_NEW;
3336    
3337     if (coro_first) coro_first->prev = coro;
3338     coro->next = coro_first;
3339     coro_first = coro;
3340    
3341     coro->hv = hv = newHV ();
3342     mg = sv_magicext ((SV *)hv, 0, CORO_MAGIC_type_state, &coro_state_vtbl, (char *)coro, 0);
3343     mg->mg_flags |= MGf_DUP;
3344     coro_sv = sv_bless (newRV_noinc ((SV *)hv), stash);
3345    
3346     if (argc > 0)
3347     {
3348     av_extend (coro->args, argc + is_coro - 1);
3349    
3350     if (is_coro)
3351     {
3352     av_push (coro->args, SvREFCNT_inc_NN ((SV *)cb));
3353     cb = (SV *)cv_coro_run;
3354     }
3355    
3356     coro->startcv = (CV *)SvREFCNT_inc_NN ((SV *)cb);
3357    
3358     for (i = 1; i < argc; i++)
3359     av_push (coro->args, newSVsv (argv [i]));
3360     }
3361    
3362     return coro_sv;
3363     }
3364    
3365 root 1.404 #ifndef __cplusplus
3366     ecb_cold XS(boot_Coro__State);
3367     #endif
3368    
3369 root 1.405 #if CORO_JIT
3370    
3371     static void ecb_noinline ecb_cold
3372 root 1.411 pushav_4uv (pTHX_ UV a, UV b, UV c, UV d)
3373 root 1.405 {
3374     dSP;
3375     AV *av = newAV ();
3376    
3377 root 1.411 av_store (av, 3, newSVuv (d));
3378 root 1.405 av_store (av, 2, newSVuv (c));
3379     av_store (av, 1, newSVuv (b));
3380     av_store (av, 0, newSVuv (a));
3381    
3382     XPUSHs (sv_2mortal (newRV_noinc ((SV *)av)));
3383    
3384     PUTBACK;
3385     }
3386    
3387     static void ecb_noinline ecb_cold
3388     jit_init (pTHX)
3389     {
3390     dSP;
3391     SV *load, *save;
3392     char *map_base;
3393     char *load_ptr, *save_ptr;
3394 root 1.410 STRLEN load_len, save_len, map_len;
3395 root 1.405 int count;
3396    
3397 root 1.409 eval_pv ("require 'Coro/jit-" CORO_JIT_TYPE ".pl'", 1);
3398    
3399 root 1.405 PUSHMARK (SP);
3400 root 1.419 #define VARx(name,expr,type) pushav_4uv (aTHX_ (UV)&(expr), sizeof (expr), offsetof (perl_slots, name), sizeof (type));
3401     #include "state.h"
3402 root 1.405 count = call_pv ("Coro::State::_jit", G_ARRAY);
3403     SPAGAIN;
3404    
3405     save = POPs; save_ptr = SvPVbyte (save, save_len);
3406     load = POPs; load_ptr = SvPVbyte (load, load_len);
3407    
3408 root 1.410 map_len = load_len + save_len + 16;
3409    
3410     map_base = mmap (0, map_len, PROT_READ | PROT_WRITE | PROT_EXEC, MAP_PRIVATE | MAP_ANONYMOUS, -1, 0);
3411 root 1.405
3412 root 1.408 assert (("Coro: unable to mmap jit code page, cannot continue.", map_base != (char *)MAP_FAILED));
3413 root 1.405
3414     load_perl_slots = (load_save_perl_slots_type)map_base;
3415     memcpy (map_base, load_ptr, load_len);
3416    
3417     map_base += (load_len + 15) & ~15;
3418    
3419     save_perl_slots = (load_save_perl_slots_type)map_base;
3420     memcpy (map_base, save_ptr, save_len);
3421 root 1.409
3422 root 1.410 /* we are good citizens and try to make the page read-only, so the evil evil */
3423     /* hackers might have it a bit more difficult */
3424     mprotect (map_base, map_len, PROT_READ | PROT_EXEC);
3425    
3426 root 1.409 PUTBACK;
3427     eval_pv ("undef &Coro::State::_jit", 1);
3428 root 1.405 }
3429    
3430     #endif
3431    
3432 root 1.267 MODULE = Coro::State PACKAGE = Coro::State PREFIX = api_
3433 root 1.264
3434 root 1.267 PROTOTYPES: DISABLE
3435 root 1.264
3436 root 1.3 BOOT:
3437 root 1.88 {
3438 pcg 1.55 #ifdef USE_ITHREADS
3439 root 1.256 # if CORO_PTHREAD
3440     coro_thx = PERL_GET_CONTEXT;
3441     # endif
3442 pcg 1.55 #endif
3443 root 1.78 BOOT_PAGESIZE;
3444 pcg 1.55
3445 root 1.405 /* perl defines these to check for existance first, but why it doesn't */
3446     /* just create them one at init time is not clear to me, except for */
3447     /* programs trying to delete them, but... */
3448     /* anyway, we declare this as invalid and make sure they are initialised here */
3449     DEFSV;
3450     ERRSV;
3451    
3452 root 1.322 cctx_current = cctx_new_empty ();
3453    
3454 root 1.190 irsgv = gv_fetchpv ("/" , GV_ADD|GV_NOTQUAL, SVt_PV);
3455     stdoutgv = gv_fetchpv ("STDOUT", GV_ADD|GV_NOTQUAL, SVt_PVIO);
3456 root 1.220
3457 root 1.239 orig_sigelem_get = PL_vtbl_sigelem.svt_get; PL_vtbl_sigelem.svt_get = coro_sigelem_get;
3458     orig_sigelem_set = PL_vtbl_sigelem.svt_set; PL_vtbl_sigelem.svt_set = coro_sigelem_set;
3459     orig_sigelem_clr = PL_vtbl_sigelem.svt_clear; PL_vtbl_sigelem.svt_clear = coro_sigelem_clr;
3460 root 1.220
3461 root 1.213 hv_sig = coro_get_hv (aTHX_ "SIG", TRUE);
3462 root 1.220 rv_diehook = newRV_inc ((SV *)gv_fetchpv ("Coro::State::diehook" , 0, SVt_PVCV));
3463     rv_warnhook = newRV_inc ((SV *)gv_fetchpv ("Coro::State::warnhook", 0, SVt_PVCV));
3464 root 1.199
3465 root 1.14 coro_state_stash = gv_stashpv ("Coro::State", TRUE);
3466 root 1.7
3467 root 1.167 newCONSTSUB (coro_state_stash, "CC_TRACE" , newSViv (CC_TRACE));
3468     newCONSTSUB (coro_state_stash, "CC_TRACE_SUB" , newSViv (CC_TRACE_SUB));
3469     newCONSTSUB (coro_state_stash, "CC_TRACE_LINE", newSViv (CC_TRACE_LINE));
3470     newCONSTSUB (coro_state_stash, "CC_TRACE_ALL" , newSViv (CC_TRACE_ALL));
3471    
3472 root 1.12 main_mainstack = PL_mainstack;
3473 root 1.148 main_top_env = PL_top_env;
3474    
3475     while (main_top_env->je_prev)
3476     main_top_env = main_top_env->je_prev;
3477 root 1.23
3478 root 1.280 {
3479     SV *slf = sv_2mortal (newSViv (PTR2IV (pp_slf)));
3480    
3481     if (!PL_custom_op_names) PL_custom_op_names = newHV ();
3482 root 1.319 hv_store_ent (PL_custom_op_names, slf, newSVpv ("coro_slf", 0), 0);
3483 root 1.280
3484     if (!PL_custom_op_descs) PL_custom_op_descs = newHV ();
3485 root 1.319 hv_store_ent (PL_custom_op_descs, slf, newSVpv ("coro schedule like function", 0), 0);
3486 root 1.280 }
3487    
3488 root 1.271 coroapi.ver = CORO_API_VERSION;
3489     coroapi.rev = CORO_API_REVISION;
3490 root 1.273
3491 root 1.271 coroapi.transfer = api_transfer;
3492 root 1.273
3493     coroapi.sv_state = SvSTATE_;
3494     coroapi.execute_slf = api_execute_slf;
3495     coroapi.prepare_nop = prepare_nop;
3496     coroapi.prepare_schedule = prepare_schedule;
3497     coroapi.prepare_cede = prepare_cede;
3498     coroapi.prepare_cede_notself = prepare_cede_notself;
3499 root 1.87
3500 root 1.388 time_init (aTHX);
3501 root 1.246
3502 root 1.358 assert (("PRIO_NORMAL must be 0", !CORO_PRIO_NORMAL));
3503 root 1.405 #if CORO_JIT
3504     PUTBACK;
3505     jit_init (aTHX);
3506     SPAGAIN;
3507     #endif
3508 root 1.9 }
3509 root 1.3
3510 root 1.87 SV *
3511 root 1.368 new (SV *klass, ...)
3512 root 1.309 ALIAS:
3513     Coro::new = 1
3514 root 1.1 CODE:
3515 root 1.369 RETVAL = coro_new (aTHX_ ix ? coro_stash : coro_state_stash, &ST (1), items - 1, ix);
3516 root 1.368 OUTPUT:
3517 root 1.1 RETVAL
3518    
3519 root 1.267 void
3520 root 1.272 transfer (...)
3521 root 1.276 PROTOTYPE: $$
3522 root 1.272 CODE:
3523 root 1.284 CORO_EXECUTE_SLF_XS (slf_init_transfer);
3524 root 1.267
3525 root 1.7 void
3526 root 1.233 _exit (int code)
3527 root 1.20 PROTOTYPE: $
3528     CODE:
3529     _exit (code);
3530 root 1.1
3531 root 1.321 SV *
3532     clone (Coro::State coro)
3533     CODE:
3534     {
3535 root 1.322 #if CORO_CLONE
3536 root 1.333 struct coro *ncoro = coro_clone (aTHX_ coro);
3537 root 1.321 MAGIC *mg;
3538     /* TODO: too much duplication */
3539     ncoro->hv = newHV ();
3540     mg = sv_magicext ((SV *)ncoro->hv, 0, CORO_MAGIC_type_state, &coro_state_vtbl, (char *)ncoro, 0);
3541     mg->mg_flags |= MGf_DUP;
3542     RETVAL = sv_bless (newRV_noinc ((SV *)ncoro->hv), SvSTASH (coro->hv));
3543 root 1.322 #else
3544     croak ("Coro::State->clone has not been configured into this installation of Coro, realised");
3545     #endif
3546 root 1.321 }
3547     OUTPUT:
3548     RETVAL
3549    
3550 root 1.106 int
3551 root 1.145 cctx_stacksize (int new_stacksize = 0)
3552 root 1.275 PROTOTYPE: ;$
3553 root 1.145 CODE:
3554 root 1.254 RETVAL = cctx_stacksize;
3555 root 1.145 if (new_stacksize)
3556 root 1.254 {
3557     cctx_stacksize = new_stacksize;
3558     ++cctx_gen;
3559     }
3560     OUTPUT:
3561     RETVAL
3562    
3563     int
3564     cctx_max_idle (int max_idle = 0)
3565 root 1.275 PROTOTYPE: ;$
3566 root 1.254 CODE:
3567     RETVAL = cctx_max_idle;
3568     if (max_idle > 1)
3569     cctx_max_idle = max_idle;
3570 root 1.145 OUTPUT:
3571     RETVAL
3572    
3573     int
3574 root 1.106 cctx_count ()
3575 root 1.275 PROTOTYPE:
3576 root 1.106 CODE:
3577     RETVAL = cctx_count;
3578     OUTPUT:
3579     RETVAL
3580    
3581     int
3582     cctx_idle ()
3583 root 1.275 PROTOTYPE:
3584 root 1.106 CODE:
3585 root 1.211 RETVAL = cctx_idle;
3586 root 1.106 OUTPUT:
3587     RETVAL
3588    
3589 root 1.151 void
3590     list ()
3591 root 1.275 PROTOTYPE:
3592 root 1.151 PPCODE:
3593     {
3594     struct coro *coro;
3595 root 1.153 for (coro = coro_first; coro; coro = coro->next)
3596 root 1.151 if (coro->hv)
3597     XPUSHs (sv_2mortal (newRV_inc ((SV *)coro->hv)));
3598     }
3599    
3600     void
3601 root 1.164 call (Coro::State coro, SV *coderef)
3602     ALIAS:
3603     eval = 1
3604 root 1.151 CODE:
3605     {
3606 root 1.245 if (coro->mainstack && ((coro->flags & CF_RUNNING) || coro->slot))
3607 root 1.151 {
3608 root 1.339 struct coro *current = SvSTATE_current;
3609 root 1.393 struct CoroSLF slf_save;
3610 root 1.151
3611 root 1.339 if (current != coro)
3612 root 1.151 {
3613 root 1.219 PUTBACK;
3614 root 1.339 save_perl (aTHX_ current);
3615 root 1.151 load_perl (aTHX_ coro);
3616 root 1.393 /* the coro is most likely in an active SLF call.
3617     * while not strictly required (the code we execute is
3618     * not allowed to call any SLF functions), it's cleaner
3619     * to reinitialise the slf_frame and restore it later.
3620     * This might one day allow us to actually do SLF calls
3621     * from code executed here.
3622     */
3623     slf_save = slf_frame;
3624     slf_frame.prepare = 0;
3625 root 1.339 SPAGAIN;
3626 root 1.151 }
3627    
3628 root 1.339 PUSHSTACK;
3629    
3630     PUSHMARK (SP);
3631     PUTBACK;
3632 root 1.198
3633 root 1.339 if (ix)
3634     eval_sv (coderef, 0);
3635     else
3636     call_sv (coderef, G_KEEPERR | G_EVAL | G_VOID | G_DISCARD);
3637 root 1.198
3638 root 1.342 POPSTACK;
3639 root 1.339 SPAGAIN;
3640 root 1.151
3641 root 1.339 if (current != coro)
3642 root 1.151 {
3643 root 1.339 PUTBACK;
3644 root 1.393 slf_frame = slf_save;
3645 root 1.151 save_perl (aTHX_ coro);
3646 root 1.339 load_perl (aTHX_ current);
3647 root 1.219 SPAGAIN;
3648 root 1.151 }
3649     }
3650     }
3651 root 1.172
3652 root 1.151 SV *
3653 root 1.152 is_ready (Coro::State coro)
3654 root 1.151 PROTOTYPE: $
3655     ALIAS:
3656     is_ready = CF_READY
3657     is_running = CF_RUNNING
3658     is_new = CF_NEW
3659 root 1.400 is_destroyed = CF_ZOMBIE
3660     is_zombie = CF_ZOMBIE
3661 root 1.341 is_suspended = CF_SUSPENDED
3662 root 1.151 CODE:
3663     RETVAL = boolSV (coro->flags & ix);
3664     OUTPUT:
3665     RETVAL
3666    
3667 root 1.165 void
3668 root 1.422 throw (SV *self, SV *exception = &PL_sv_undef)
3669 root 1.260 PROTOTYPE: $;$
3670     CODE:
3671 root 1.285 {
3672 root 1.422 struct coro *coro = SvSTATE (self);
3673 root 1.285 struct coro *current = SvSTATE_current;
3674 root 1.422 SV **exceptionp = coro == current ? &CORO_THROW : &coro->except;
3675 root 1.379 SvREFCNT_dec (*exceptionp);
3676     SvGETMAGIC (exception);
3677     *exceptionp = SvOK (exception) ? newSVsv (exception) : 0;
3678 root 1.422
3679     api_ready (aTHX_ self);
3680 root 1.285 }
3681 root 1.260
3682     void
3683 root 1.174 api_trace (SV *coro, int flags = CC_TRACE | CC_TRACE_SUB)
3684 root 1.275 PROTOTYPE: $;$
3685 root 1.270 C_ARGS: aTHX_ coro, flags
3686 root 1.165
3687 root 1.162 SV *
3688 root 1.234 has_cctx (Coro::State coro)
3689 root 1.162 PROTOTYPE: $
3690     CODE:
3691 root 1.323 /* maybe manage the running flag differently */
3692     RETVAL = boolSV (!!coro->cctx || (coro->flags & CF_RUNNING));
3693 root 1.162 OUTPUT:
3694     RETVAL
3695    
3696 root 1.167 int
3697     is_traced (Coro::State coro)
3698     PROTOTYPE: $
3699     CODE:
3700     RETVAL = (coro->cctx ? coro->cctx->flags : 0) & CC_TRACE_ALL;
3701     OUTPUT:
3702     RETVAL
3703    
3704 root 1.255 UV
3705 root 1.152 rss (Coro::State coro)
3706     PROTOTYPE: $
3707 root 1.172 ALIAS:
3708     usecount = 1
3709 root 1.152 CODE:
3710 root 1.172 switch (ix)
3711     {
3712     case 0: RETVAL = coro_rss (aTHX_ coro); break;
3713     case 1: RETVAL = coro->usecount; break;
3714     }
3715 root 1.152 OUTPUT:
3716     RETVAL
3717    
3718 root 1.226 void
3719     force_cctx ()
3720 root 1.275 PROTOTYPE:
3721 root 1.226 CODE:
3722 root 1.323 cctx_current->idle_sp = 0;
3723 root 1.151
3724 root 1.242 void
3725     swap_defsv (Coro::State self)
3726     PROTOTYPE: $
3727     ALIAS:
3728     swap_defav = 1
3729     CODE:
3730     if (!self->slot)
3731 root 1.273 croak ("cannot swap state with coroutine that has no saved state,");
3732 root 1.242 else
3733     {
3734     SV **src = ix ? (SV **)&GvAV (PL_defgv) : &GvSV (PL_defgv);
3735     SV **dst = ix ? (SV **)&self->slot->defav : (SV **)&self->slot->defsv;
3736    
3737     SV *tmp = *src; *src = *dst; *dst = tmp;
3738     }
3739    
3740 root 1.341 void
3741     cancel (Coro::State self)
3742     CODE:
3743     coro_state_destroy (aTHX_ self);
3744    
3745 root 1.360 SV *
3746     enable_times (int enabled = enable_times)
3747     CODE:
3748     {
3749     RETVAL = boolSV (enable_times);
3750    
3751     if (enabled != enable_times)
3752     {
3753     enable_times = enabled;
3754    
3755     coro_times_update ();
3756     (enabled ? coro_times_sub : coro_times_add)(SvSTATE (coro_current));
3757     }
3758     }
3759     OUTPUT:
3760     RETVAL
3761    
3762     void
3763     times (Coro::State self)
3764     PPCODE:
3765     {
3766     struct coro *current = SvSTATE (coro_current);
3767    
3768 root 1.404 if (ecb_expect_false (current == self))
3769 root 1.360 {
3770     coro_times_update ();
3771     coro_times_add (SvSTATE (coro_current));
3772     }
3773    
3774     EXTEND (SP, 2);
3775     PUSHs (sv_2mortal (newSVnv (self->t_real [0] + self->t_real [1] * 1e-9)));
3776     PUSHs (sv_2mortal (newSVnv (self->t_cpu [0] + self->t_cpu [1] * 1e-9)));
3777    
3778 root 1.404 if (ecb_expect_false (current == self))
3779 root 1.360 coro_times_sub (SvSTATE (coro_current));
3780     }
3781    
3782 root 1.374 void
3783     swap_sv (Coro::State coro, SV *sv, SV *swapsv)
3784     CODE:
3785     {
3786     struct coro *current = SvSTATE_current;
3787    
3788     if (current == coro)
3789     SWAP_SVS (current);
3790    
3791     if (!coro->swap_sv)
3792     coro->swap_sv = newAV ();
3793    
3794 root 1.375 av_push (coro->swap_sv, SvREFCNT_inc_NN (SvRV (sv )));
3795     av_push (coro->swap_sv, SvREFCNT_inc_NN (SvRV (swapsv)));
3796 root 1.374
3797     if (current == coro)
3798     SWAP_SVS (current);
3799     }
3800    
3801    
3802 root 1.21 MODULE = Coro::State PACKAGE = Coro
3803    
3804     BOOT:
3805     {
3806 root 1.318 sv_pool_rss = coro_get_sv (aTHX_ "Coro::POOL_RSS" , TRUE);
3807     sv_pool_size = coro_get_sv (aTHX_ "Coro::POOL_SIZE" , TRUE);
3808 root 1.335 cv_coro_run = get_cv ( "Coro::_coro_run" , GV_ADD);
3809 root 1.318 coro_current = coro_get_sv (aTHX_ "Coro::current" , FALSE); SvREADONLY_on (coro_current);
3810     av_async_pool = coro_get_av (aTHX_ "Coro::async_pool", TRUE);
3811     av_destroy = coro_get_av (aTHX_ "Coro::destroy" , TRUE);
3812     sv_manager = coro_get_sv (aTHX_ "Coro::manager" , TRUE);
3813 root 1.326 sv_idle = coro_get_sv (aTHX_ "Coro::idle" , TRUE);
3814 root 1.159
3815 root 1.312 sv_async_pool_idle = newSVpv ("[async pool idle]", 0); SvREADONLY_on (sv_async_pool_idle);
3816     sv_Coro = newSVpv ("Coro", 0); SvREADONLY_on (sv_Coro);
3817 root 1.315 cv_pool_handler = get_cv ("Coro::pool_handler", GV_ADD); SvREADONLY_on (cv_pool_handler);
3818 root 1.370 CvNODEBUG_on (get_cv ("Coro::_pool_handler", 0)); /* work around a debugger bug */
3819    
3820 root 1.198 coro_stash = gv_stashpv ("Coro", TRUE);
3821 root 1.88
3822 root 1.358 newCONSTSUB (coro_stash, "PRIO_MAX", newSViv (CORO_PRIO_MAX));
3823     newCONSTSUB (coro_stash, "PRIO_HIGH", newSViv (CORO_PRIO_HIGH));
3824     newCONSTSUB (coro_stash, "PRIO_NORMAL", newSViv (CORO_PRIO_NORMAL));
3825     newCONSTSUB (coro_stash, "PRIO_LOW", newSViv (CORO_PRIO_LOW));
3826     newCONSTSUB (coro_stash, "PRIO_IDLE", newSViv (CORO_PRIO_IDLE));
3827     newCONSTSUB (coro_stash, "PRIO_MIN", newSViv (CORO_PRIO_MIN));
3828 root 1.22
3829 root 1.26 {
3830 root 1.263 SV *sv = coro_get_sv (aTHX_ "Coro::API", TRUE);
3831 root 1.26
3832 root 1.131 coroapi.schedule = api_schedule;
3833 root 1.317 coroapi.schedule_to = api_schedule_to;
3834 root 1.131 coroapi.cede = api_cede;
3835     coroapi.cede_notself = api_cede_notself;
3836     coroapi.ready = api_ready;
3837     coroapi.is_ready = api_is_ready;
3838 root 1.270 coroapi.nready = coro_nready;
3839 root 1.131 coroapi.current = coro_current;
3840 root 1.26
3841 root 1.297 /*GCoroAPI = &coroapi;*/
3842 root 1.81 sv_setiv (sv, (IV)&coroapi);
3843     SvREADONLY_on (sv);
3844 root 1.26 }
3845 root 1.21 }
3846    
3847 root 1.368 SV *
3848     async (...)
3849     PROTOTYPE: &@
3850     CODE:
3851 root 1.369 RETVAL = coro_new (aTHX_ coro_stash, &ST (0), items, 1);
3852 root 1.371 api_ready (aTHX_ RETVAL);
3853 root 1.368 OUTPUT:
3854     RETVAL
3855    
3856 root 1.122 void
3857 root 1.392 _destroy (Coro::State coro)
3858     CODE:
3859     /* used by the manager thread */
3860     coro_state_destroy (aTHX_ coro);
3861 root 1.399
3862     void
3863     on_destroy (Coro::State coro, SV *cb)
3864     CODE:
3865     coro_push_on_destroy (aTHX_ coro, newSVsv (cb));
3866    
3867     void
3868     join (...)
3869     CODE:
3870     CORO_EXECUTE_SLF_XS (slf_init_join);
3871 root 1.392
3872     void
3873 root 1.318 terminate (...)
3874     CODE:
3875     CORO_EXECUTE_SLF_XS (slf_init_terminate);
3876    
3877     void
3878 root 1.392 cancel (...)
3879     CODE:
3880     CORO_EXECUTE_SLF_XS (slf_init_cancel);
3881    
3882 root 1.397 int
3883     safe_cancel (Coro::State self, ...)
3884     C_ARGS: aTHX_ self, &ST (1), items - 1
3885    
3886 root 1.392 void
3887 root 1.272 schedule (...)
3888     CODE:
3889 root 1.284 CORO_EXECUTE_SLF_XS (slf_init_schedule);
3890 root 1.272
3891     void
3892 root 1.317 schedule_to (...)
3893     CODE:
3894     CORO_EXECUTE_SLF_XS (slf_init_schedule_to);
3895    
3896     void
3897     cede_to (...)
3898     CODE:
3899     CORO_EXECUTE_SLF_XS (slf_init_cede_to);
3900    
3901     void
3902 root 1.272 cede (...)
3903     CODE:
3904 root 1.284 CORO_EXECUTE_SLF_XS (slf_init_cede);
3905 root 1.272
3906     void
3907     cede_notself (...)
3908     CODE:
3909 root 1.284 CORO_EXECUTE_SLF_XS (slf_init_cede_notself);
3910 root 1.272
3911     void
3912 root 1.122 _set_current (SV *current)
3913     PROTOTYPE: $
3914     CODE:
3915     SvREFCNT_dec (SvRV (coro_current));
3916 root 1.249 SvRV_set (coro_current, SvREFCNT_inc_NN (SvRV (current)));
3917 root 1.122
3918 root 1.233 void
3919     _set_readyhook (SV *hook)
3920     PROTOTYPE: $
3921     CODE:
3922 root 1.236 SvREFCNT_dec (coro_readyhook);
3923 root 1.357 SvGETMAGIC (hook);
3924 root 1.365 if (SvOK (hook))
3925     {
3926     coro_readyhook = newSVsv (hook);
3927     CORO_READYHOOK = invoke_sv_ready_hook_helper;
3928     }
3929     else
3930     {
3931     coro_readyhook = 0;
3932     CORO_READYHOOK = 0;
3933     }
3934 root 1.233
3935 root 1.92 int
3936     prio (Coro::State coro, int newprio = 0)
3937 root 1.275 PROTOTYPE: $;$
3938 root 1.92 ALIAS:
3939     nice = 1
3940     CODE:
3941     {
3942     RETVAL = coro->prio;
3943    
3944     if (items > 1)
3945     {
3946     if (ix)
3947 root 1.129 newprio = coro->prio - newprio;
3948 root 1.92
3949 root 1.359 if (newprio < CORO_PRIO_MIN) newprio = CORO_PRIO_MIN;
3950     if (newprio > CORO_PRIO_MAX) newprio = CORO_PRIO_MAX;
3951 root 1.92
3952     coro->prio = newprio;
3953     }
3954     }
3955 root 1.130 OUTPUT:
3956     RETVAL
3957 root 1.92
3958 root 1.111 SV *
3959 root 1.89 ready (SV *self)
3960 root 1.35 PROTOTYPE: $
3961 root 1.21 CODE:
3962 root 1.270 RETVAL = boolSV (api_ready (aTHX_ self));
3963 root 1.111 OUTPUT:
3964     RETVAL
3965    
3966 root 1.25 int
3967 root 1.87 nready (...)
3968 root 1.25 PROTOTYPE:
3969     CODE:
3970     RETVAL = coro_nready;
3971     OUTPUT:
3972     RETVAL
3973    
3974 root 1.161 void
3975 root 1.345 suspend (Coro::State self)
3976     PROTOTYPE: $
3977     CODE:
3978     self->flags |= CF_SUSPENDED;
3979    
3980     void
3981     resume (Coro::State self)
3982     PROTOTYPE: $
3983     CODE:
3984     self->flags &= ~CF_SUSPENDED;
3985    
3986     void
3987 root 1.312 _pool_handler (...)
3988 root 1.159 CODE:
3989 root 1.312 CORO_EXECUTE_SLF_XS (slf_init_pool_handler);
3990 root 1.159
3991     void
3992 root 1.312 async_pool (SV *cv, ...)
3993     PROTOTYPE: &@
3994     PPCODE:
3995 root 1.159 {
3996 root 1.312 HV *hv = (HV *)av_pop (av_async_pool);
3997     AV *av = newAV ();
3998     SV *cb = ST (0);
3999     int i;
4000 root 1.159
4001 root 1.312 av_extend (av, items - 2);
4002     for (i = 1; i < items; ++i)
4003     av_push (av, SvREFCNT_inc_NN (ST (i)));
4004 root 1.161
4005 root 1.312 if ((SV *)hv == &PL_sv_undef)
4006     {
4007 root 1.369 SV *sv = coro_new (aTHX_ coro_stash, (SV **)&cv_pool_handler, 1, 1);
4008     hv = (HV *)SvREFCNT_inc_NN (SvRV (sv));
4009     SvREFCNT_dec (sv);
4010 root 1.221 }
4011 root 1.159
4012 root 1.312 {
4013     struct coro *coro = SvSTATE_hv (hv);
4014 root 1.175
4015 root 1.312 assert (!coro->invoke_cb);
4016     assert (!coro->invoke_av);
4017     coro->invoke_cb = SvREFCNT_inc (cb);
4018     coro->invoke_av = av;
4019     }
4020 root 1.173
4021 root 1.313 api_ready (aTHX_ (SV *)hv);
4022 root 1.173
4023 root 1.312 if (GIMME_V != G_VOID)
4024     XPUSHs (sv_2mortal (newRV_noinc ((SV *)hv)));
4025     else
4026     SvREFCNT_dec (hv);
4027 root 1.159 }
4028    
4029 root 1.302 SV *
4030     rouse_cb ()
4031     PROTOTYPE:
4032     CODE:
4033     RETVAL = coro_new_rouse_cb (aTHX);
4034     OUTPUT:
4035     RETVAL
4036    
4037     void
4038 root 1.306 rouse_wait (...)
4039 root 1.302 PROTOTYPE: ;$
4040     PPCODE:
4041     CORO_EXECUTE_SLF_XS (slf_init_rouse_wait);
4042    
4043 root 1.339 void
4044     on_enter (SV *block)
4045     ALIAS:
4046     on_leave = 1
4047     PROTOTYPE: &
4048     CODE:
4049     {
4050     struct coro *coro = SvSTATE_current;
4051     AV **avp = ix ? &coro->on_leave : &coro->on_enter;
4052    
4053 root 1.363 block = s_get_cv_croak (block);
4054 root 1.339
4055     if (!*avp)
4056     *avp = newAV ();
4057    
4058     av_push (*avp, SvREFCNT_inc (block));
4059    
4060     if (!ix)
4061     on_enterleave_call (aTHX_ block);
4062    
4063 root 1.346 LEAVE; /* pp_entersub unfortunately forces an ENTER/LEAVE around XS calls */
4064 root 1.339 SAVEDESTRUCTOR_X (ix ? coro_pop_on_leave : coro_pop_on_enter, (void *)coro);
4065 root 1.346 ENTER; /* pp_entersub unfortunately forces an ENTER/LEAVE around XS calls */
4066 root 1.339 }
4067    
4068 root 1.159
4069 root 1.246 MODULE = Coro::State PACKAGE = PerlIO::cede
4070    
4071     BOOT:
4072     PerlIO_define_layer (aTHX_ &PerlIO_cede);
4073 root 1.263
4074 root 1.287
4075 root 1.275 MODULE = Coro::State PACKAGE = Coro::Semaphore
4076    
4077     SV *
4078 root 1.299 new (SV *klass, SV *count = 0)
4079 root 1.275 CODE:
4080 root 1.356 {
4081     int semcnt = 1;
4082    
4083     if (count)
4084     {
4085     SvGETMAGIC (count);
4086    
4087     if (SvOK (count))
4088     semcnt = SvIV (count);
4089     }
4090    
4091 root 1.299 RETVAL = sv_bless (
4092 root 1.356 coro_waitarray_new (aTHX_ semcnt),
4093 root 1.299 GvSTASH (CvGV (cv))
4094     );
4095 root 1.356 }
4096 root 1.299 OUTPUT:
4097     RETVAL
4098 root 1.289
4099 root 1.343 # helper for Coro::Channel and others
4100 root 1.299 SV *
4101     _alloc (int count)
4102     CODE:
4103 root 1.300 RETVAL = coro_waitarray_new (aTHX_ count);
4104 root 1.275 OUTPUT:
4105     RETVAL
4106    
4107     SV *
4108     count (SV *self)
4109     CODE:
4110     RETVAL = newSVsv (AvARRAY ((AV *)SvRV (self))[0]);
4111     OUTPUT:
4112     RETVAL
4113    
4114     void
4115     up (SV *self, int adjust = 1)
4116     ALIAS:
4117     adjust = 1
4118     CODE:
4119 root 1.281 coro_semaphore_adjust (aTHX_ (AV *)SvRV (self), ix ? adjust : 1);
4120 root 1.275
4121     void
4122 root 1.306 down (...)
4123 root 1.275 CODE:
4124 root 1.284 CORO_EXECUTE_SLF_XS (slf_init_semaphore_down);
4125 root 1.275
4126     void
4127 root 1.306 wait (...)
4128 root 1.298 CODE:
4129     CORO_EXECUTE_SLF_XS (slf_init_semaphore_wait);
4130    
4131     void
4132 root 1.275 try (SV *self)
4133     PPCODE:
4134     {
4135     AV *av = (AV *)SvRV (self);
4136     SV *count_sv = AvARRAY (av)[0];
4137     IV count = SvIVX (count_sv);
4138    
4139     if (count > 0)
4140     {
4141     --count;
4142     SvIVX (count_sv) = count;
4143     XSRETURN_YES;
4144     }
4145     else
4146     XSRETURN_NO;
4147     }
4148    
4149     void
4150     waiters (SV *self)
4151 root 1.299 PPCODE:
4152 root 1.275 {
4153     AV *av = (AV *)SvRV (self);
4154 root 1.299 int wcount = AvFILLp (av) + 1 - 1;
4155 root 1.275
4156     if (GIMME_V == G_SCALAR)
4157 root 1.299 XPUSHs (sv_2mortal (newSViv (wcount)));
4158 root 1.275 else
4159     {
4160     int i;
4161 root 1.299 EXTEND (SP, wcount);
4162     for (i = 1; i <= wcount; ++i)
4163 root 1.290 PUSHs (sv_2mortal (newRV_inc (AvARRAY (av)[i])));
4164 root 1.275 }
4165     }
4166    
4167 root 1.344 MODULE = Coro::State PACKAGE = Coro::SemaphoreSet
4168    
4169     void
4170 root 1.412 _may_delete (SV *sem, int count, unsigned int extra_refs)
4171 root 1.344 PPCODE:
4172     {
4173     AV *av = (AV *)SvRV (sem);
4174    
4175     if (SvREFCNT ((SV *)av) == 1 + extra_refs
4176     && AvFILLp (av) == 0 /* no waiters, just count */
4177     && SvIV (AvARRAY (av)[0]) == count)
4178     XSRETURN_YES;
4179    
4180     XSRETURN_NO;
4181     }
4182    
4183 root 1.299 MODULE = Coro::State PACKAGE = Coro::Signal
4184    
4185     SV *
4186     new (SV *klass)
4187     CODE:
4188     RETVAL = sv_bless (
4189 root 1.300 coro_waitarray_new (aTHX_ 0),
4190 root 1.299 GvSTASH (CvGV (cv))
4191     );
4192     OUTPUT:
4193     RETVAL
4194    
4195     void
4196 root 1.306 wait (...)
4197 root 1.300 CODE:
4198     CORO_EXECUTE_SLF_XS (slf_init_signal_wait);
4199    
4200     void
4201     broadcast (SV *self)
4202     CODE:
4203     {
4204     AV *av = (AV *)SvRV (self);
4205     coro_signal_wake (aTHX_ av, AvFILLp (av));
4206     }
4207    
4208     void
4209     send (SV *self)
4210 root 1.299 CODE:
4211     {
4212     AV *av = (AV *)SvRV (self);
4213 root 1.300
4214     if (AvFILLp (av))
4215 root 1.301 coro_signal_wake (aTHX_ av, 1);
4216 root 1.300 else
4217     SvIVX (AvARRAY (av)[0]) = 1; /* remember the signal */
4218 root 1.299 }
4219    
4220 root 1.300 IV
4221     awaited (SV *self)
4222     CODE:
4223     RETVAL = AvFILLp ((AV *)SvRV (self)) + 1 - 1;
4224     OUTPUT:
4225     RETVAL
4226    
4227 root 1.287
4228     MODULE = Coro::State PACKAGE = Coro::AnyEvent
4229    
4230     BOOT:
4231     sv_activity = coro_get_sv (aTHX_ "Coro::AnyEvent::ACTIVITY", TRUE);
4232    
4233     void
4234     _schedule (...)
4235     CODE:
4236     {
4237     static int incede;
4238    
4239     api_cede_notself (aTHX);
4240    
4241     ++incede;
4242     while (coro_nready >= incede && api_cede (aTHX))
4243     ;
4244    
4245     sv_setsv (sv_activity, &PL_sv_undef);
4246     if (coro_nready >= incede)
4247     {
4248     PUSHMARK (SP);
4249     PUTBACK;
4250 root 1.290 call_pv ("Coro::AnyEvent::_activity", G_KEEPERR | G_EVAL | G_VOID | G_DISCARD);
4251 root 1.287 }
4252    
4253     --incede;
4254     }
4255    
4256    
4257     MODULE = Coro::State PACKAGE = Coro::AIO
4258    
4259     void
4260     _register (char *target, char *proto, SV *req)
4261     CODE:
4262     {
4263 root 1.363 SV *req_cv = s_get_cv_croak (req);
4264 root 1.288 /* newXSproto doesn't return the CV on 5.8 */
4265     CV *slf_cv = newXS (target, coro_aio_req_xs, __FILE__);
4266     sv_setpv ((SV *)slf_cv, proto);
4267 root 1.287 sv_magicext ((SV *)slf_cv, (SV *)req_cv, CORO_MAGIC_type_aio, 0, 0, 0);
4268     }
4269    
4270 root 1.364 MODULE = Coro::State PACKAGE = Coro::Select
4271    
4272     void
4273     patch_pp_sselect ()
4274     CODE:
4275     if (!coro_old_pp_sselect)
4276     {
4277     coro_select_select = (SV *)get_cv ("Coro::Select::select", 0);
4278     coro_old_pp_sselect = PL_ppaddr [OP_SSELECT];
4279     PL_ppaddr [OP_SSELECT] = coro_pp_sselect;
4280     }
4281    
4282     void
4283     unpatch_pp_sselect ()
4284     CODE:
4285     if (coro_old_pp_sselect)
4286     {
4287     PL_ppaddr [OP_SSELECT] = coro_old_pp_sselect;
4288     coro_old_pp_sselect = 0;
4289     }
4290